From 71dcf7f68b8d2a9a2c44a888d8ac263523feca4a Mon Sep 17 00:00:00 2001 From: zeus Date: Tue, 13 Sep 2022 14:58:13 +0200 Subject: [PATCH] minor adjustments --- openscad/foreign/sc808/io-shield-ports.stl | Bin 861684 -> 861684 bytes openscad/foreign/sc808/io-shield.scad | 10 +++++----- 2 files changed, 5 insertions(+), 5 deletions(-) diff --git a/openscad/foreign/sc808/io-shield-ports.stl b/openscad/foreign/sc808/io-shield-ports.stl index c0d1114efdd0e979329b26ee54d425dfd326a99a..c1dd3843cfa4f15eedfdde7d667dc8d133ca6bdb 100644 GIT binary patch delta 194107 zcmZs^dAwCs{r`W@y$3GCl{+%SJ)mYOmf!%W3EX2LA|N;qpiqX2%HV(lqJUUw8R<=y zV}g}qIb=p@>Ak1Ylydx<8EMd5`Z3WGHPYYv^?JY7J`48mpYzyjy`S%O_Fj9f_nO{& zt$%Oa|KA(;f8dT^cdmP;q45_hudDp?kf|LtHS4-+R$SNF{p;OYo4<5j<(B1B7$ z%I8Y0e^_%}<(00+%6Ku1f8(+1DpMZpV7wT{ zPk!mTN?qF|#*1P6|9kVg%G0YRFkTGfFYVh|8S~Mn883$MrBR)g?yC=GycovcHodd5 zb&rD>FNX2IJ-4&6*GuCWFNX2oxv8_#wRRli#W4Q0pLbT?J$o$U#W4Pat)2F~2QXd? zIVjmqsy_hY;m#;;iQjmm!a?8|sDjNkKz->A&qHiq$H7+-q* z8vZDI;Anzx(`+S@lU7>5|#wm>aXIlrqvK z)7EigR;^M-x?~>N>&C2#rHpjR?A?50R^3uYx@1=W`-ZIQrHpjRZ25mTWYsWbq)TSr z_ixCmWXedF%qOn7A*-G#BV95lf8mC#s-}!|$?SUY4Oz8K8R?R_tKSV-6;2uHk{Pl& zWYsxkq)X<``$JZ>Q%1UERxA%$HBTAol6m>?kX8AVkuDjP+gy2;Zg}v*LjTThzWlj* zv+pdt^}ii86n4FTWjd8=YA&_-#eU5;U|Kz(1vlV<^UFR4yXl5_S=sL%sK{Iy z8Z@&HOh?x_1`V3ohnQ`B>Scw);DZaLlB78;G;wAzn6j>O44OEz7~%O#tS0((jzJS= z7K7>NI>(@iGmAk+*Et4FoLLMqy3R3Z;>==@(RGeN6Ng%FrMhrNXWf=jB{V*)+Y+$3FEw z_F2&R{2xnf4{uq1MmIXUH=TH;)z&s%N2cRX-X6ZvH9yDrSm}&TFg_0YLXPoq(|j^M zCi;AikuDh@AI-}#(hY@!t&QY(YHp5^ZWvQ5}m=*YP<>RrtlVhaIbbO3c-7#c*ymnNMkuDh@yUofm(k0{LxI80WGCro8nM+5yWPE^^ zXQWHU2YY!&x?noj{jEU*N9TO>H#3td%zLR}D;H%gySRoA{bFnbo4einxy*-rRhhy} z&4+qbnZlI$5U(mzm@@A!&&uvlVamMAOxX@kr@gaqm(3FvGcz#c-FS= z@fv-m!8z-^g=-Hi4D8(ee+`XS)iq|*7d17FHmk?@)?NEGW>XeoI>)?OYJIHKm`ziN zVf^&*joH+M7{)(*d}B5pA%^iUFK^7IAjB~KntK|vX$LWkzx&n3Y^p&F5aa3m zu{oO+5X1OiO>D_#0v>RN@ei$Q$wvQT7+>honvM9yF#f2sTeDHV7{*WdO=~u>Cx-DS zmfNz4Ju!?Q`#@VZu_p%cEvJ|IXES@wV5Tn2Tvg~fz~}E$V*dPpbazgAVL*Et`Ri$; ztk2`{vNiqVWS?J-?!0xYCi_Y?H3JMB-GPo$s&wVz0oiPyu5-+dn+IgGeY(yuPaioj zo9)wej=A%v1GCvaUFVp}o`X2sXPv#4$#%z!NnE&n7%NU_7*=R6y|#5&R$bC(5yjb; z^5$V#)kzuYl6mOKVOcdw8R?Qa;;vy?l}Z`ulG$_Bu&jEejC9GIckHmNs-=u{$sE7y zu&mmpjC9HL{>RX)3Z{&7$yDwhnpMY?k*+hR4bAFi%1D>Y%ppUwdb$JSx?~=HdPr7h zQ%1UEKC^U4R)14Qy8d6ohh%j*Wu!}H|E|GVy-t~#u1jY6se`jRo-)$yEWF#+{=uNE zx~GU#naq8igR-ihG9S4v85PxB5tc5;D^1(_JoLkN-yB>Iv);dQPPn(JFsdH5{PU|8 zg;x=P0pDZdlF-;(7}XQjx!0XxQgdPNdRXKQGnR()Oi&Lqy#4hH!*>vX?LA=m#o=WH zU~r$QSr&S?6b@`|v?_CUH!8WeBMZWozJ<1)W}hcqaA`QF#duiOm-f0m+=c+m>AL+_ zgy#`}4PE`zicr@I%nax1qgRFl5P-F8d0}NZ9RZlg`#!TO+=u||;$QDs6*eLOV|Y~Y zits-KU;)?8ydvz=W&xPJ`D?BS^ALcoTita<=tKb4?5BUaBK)GQFpdqR%>m~A9Jny)?;i>NJ<5n?V?>6Ph)c1O-`!8#*U_4q@d$}ne@L%EZfrY+ogZsU* zJS7vU%7RO+wKfvz*U#xXva)yQnlOA|VN|$epgoZa3Q1}4alZ?p3J!$=DSQn=6(kA; zQrI-egeq8`tV-OxLH0gX$S4p5t(29`U%F!MpJGrvoOP8hbyhe*? z){yrxdzjs%A}B;t2=U|>j-({f z6vAqD*ILD0c334=IK?|-O`%nq&^)lV|M0^q?Z5YTQ}JjbXbE9&n}=JmR)7LDf3Url ze*fW9D#uRyQX0)`w0>rh`J7)KZuh4MPuQA0v(OYi0wLN#i=Ytgomp%O?^{r)AC$)O z8qJ-VaS}7zOY$R{sv@Y59;r1T5Z0?8hgl_SSyXVt z!==KmVa~^Dd#e-duPCiC==fSy#4Bp+!BQOlS}N?_pyJBES$luqwy?TSp`~60G#oL) z{zL^YY(XHFyw;J0Q4MKP3J*MF+NX>(Z554hp)vKbY}}1NEDxIy(2EYc>S={fRF;E($+Jz*iWTgx4+5)0YLq zu+i4t@#Vjz;mFZO`8wZ)VI=~-pm%Y22mxQYTNeIe0WO#R^AL@+eYuqPYD=o@JJkCc z-lgI2F{bJZahHc>2w=t$weNcfU}_OPpFbdgIYjh)n)kH;CJWK?ITQiR3Zmz80Rou* zL(k_<1TfEsp3f@?U_uXtzVCh(z|0+bK8GNHDLV9g79oInJoJ3l?`J(9U%*w*M|U4S z+|SCoa*xj?iWOn>{w7pzQYeu1aqUyfG6U@Ev)=8Axj*aE*3himzpzIsCH-}Hk4IWe zZTW4>GE=SB#D5ge+u7>?yB)nY3gi34ly{hOfb~W69w`+`)vrNS@0G&%!bgs>CUf;A zndQ~nBqN^p_r%CcGSllTvfkklpJP-In2z|s9Qp77%aQ31UtBq9=ocwfDdBZ2K6Y3A z!_{N0T~V<~Nj}gcW9@-dj3|udtI)f)e|QtBDp!<>q-y&(Q&l;mP#}dfAym1eFc!%5 z&=~_m8(XkLl*v*NMe`%n)l<|9fuIZTY_SP`=F+#N)3^scub8mGNoo)nWb3 z_Bx(BxKJGIhCO94i4DV!*}EZJF7+Cg&faN;ZnsunLv_H{N*A$Iv?{M61 zUll#q1qx8$OQGkxKmiJT{d1`c6rjKtJuh^D0u=bV=EW{hfC694T!#F@3}o1RP~k7( z)gFbuVbVc`KH+QQtdm27t_-14no*d+hb=-aXvLJhSt&w02ryr7wu}Nn)M&% z=m1n@q|0=CSbIzdrW0yvs*I1L-5J0~x5woe>0+WJ^gFrGpxKP$!pM_tf!@cv?gFIY zJyTF>;aYz&L#hD8=6F?_|zAl?hd0n3Ij@*;Evu!h_&Gi z6A>Lo&ap>Lp|8$-I$V2{J*p40-RH<6F>&CUo+4inM%&TnC}pG@9z4ZN@Qrf{eL2%( zWVqM##2lSBl+l9bsdl>p!@;N8 z?P7W$`Y!ak?1^q0U{OmDwY~~1f#Ei2VLBjsMf~!zUvzIe=gZU*M6I_>t0@IHo%8eV z&{f9kwpp*}c!AhoUUn7LMTd^Lc-;wf?6jYS2amOf#2i9&kFv<_UYA`>O~L%*f6ler z6&RL0W#jv%d4+}&rWm5vlqN{1Dv~7yQw-5-DrKb08EJ;r~F^mJZ_nCzGaHfNSr}U#M&^B*P@Y1YZ@;;JpY0{;k4rm4LyBi z7@m8fZyTpZ&IntMEA(%EtlNf*OiDw?aLwlmPxEC~#{?0te5s+9@G*VI(kHEL>xV-e6Y2(vTO za&jNfx{H^tbBqsj-Nj4SImV}H+{H`RImYK{jtVOuwAO)x;Mh7;@7D*!?&78ETsl5H zrWV;tYtv;Y8&d4UTHk9!H2m= zS)Pby?DmAlgoWHt!Yn(OXHD)%ffj|kgQPLTOKAh`7%tug@ znCL@Or(lf6Ys`UI>)^NC#fh{uKI6mYQ|y*SGj@AIW5&x`3%thGTP7V|iFRM{;r%lU zBd~H5Ta=W+jF+_;ECRY_`YD468EY6=K&LK15%7pGX90?U2dLHZ)ZvxbY$Qe`*fd@P zr*hyg+HG1iO>NW>$Lp6)oEpX~D-0YeE5^v9-=12rd2?Qy)eBqCFAS`g$qVsl*sqJ)ty8Fba&JzHK$2_s$qFYD%QJ3-z}2_s!H=y`2A`IuZD zqAzE+x z&bdGVKCxFh3O**cJ5zgFeN?Gex9Igzgqz$5RB& z`qn*8;y2c5}i+f&BfW4=HJozm!|oifrT;~iw5$WIyRvZywukoA~3!ymr>W$Wy{ z`CfNN_PK0LrK9t?HwIAB`qMvkcf^loMTIS2whFqstGgq9H7m#k^Vf8D#Ls30xnS+g z?vD7~tRNR0RqXDFAI=JL!C&v`>WE*?3ZkIpzRz@Z#7}1(3Y))N7}47D!s8wB(^)Z| z((0oh?}*>d3Xwnr2Kc5xkf-k-ClaBcPtRNTM zcF<4k!4K#_<1fesr>}UlBYr_E$OSh&_DDzkgjSFXKK##*JK{IAf?P2B<3H|*AJGbO z!Rn)b)Dgd;736{$%Qkex&u9g?p!2pLcEs;!1-W3~?uR?#m$ZV+53he%ytYu>#eL|6 zgj0W7D7B~j{P`HiJJe^(4?6T!tzV7~4}P^!^|bqek)xSgsn)yg51E#K>#FM<^UQ?r z;|?((F6lbQoHO@9JRTWc=a}!WegJtxM%Ov!((m4njKzqn`4c8xOroX!4%8$(P;1zJ zjZH2bI_!?D2Bps|odvE-X2`L3W)&}Gq)X%CbuP8sQvnfHr(vl^W;(j{}_<=@RJ zcgjeY%>0h;W%WE|q#K_9g}u{0_hnT+WuzO%{N0$}-j~(>l&N)H{+G&Yt{i{cbvbj; zb*&8=-OMit&tGc;D>v;vK)LD0cTL;7E`r$jxRuujLJ1>XGH&qofl$IomyFwfAE2cF zC0#P!4EO*gWu)sLx3JmpMm}Yv%XGYT&<8@v{djBQ0~Bv4e1MXsBVDHBZH9MVQbxMY zu=(&lP|8S`jJG5{K-qzDT{;D^;nDX&$t_Ej$$0bPHSjC9F(Tjk@5G#%-Z@n$Q} zNH;WpXqAc0T%M6G(h2L<+RB`_Zw`7x#!quxsEBS~{P}@ZoJ<%$5%N|ni*9ID1a-Xm zN`#7_(A%v#c$*!kbgGPWX<69x$C;rjB2^~iU5GftRAs!|V3UscqLSSheJI54hZha$ zG9B;I#2K$@I^K=(FGam;qz{FXbfgQXr`Jaa@w9<*S z*CWHT7HO`SGs?FtKbw&P*z7%Ui+PlkH_s@)(zSp>fmPu{PtGWx*85Bf1y+Se+%==T z?S#`Q6j&ARxoSpv%7b5^P+(Pf-mx>vb#13nC@_q<)kasx?>eLW^s3KMAjk#1|1rHh z=A*e32%?~+a`*Id_tmFT;7}NSs||@Nr%f+!-QyI-i}93Z4w+uw>m^^E736}4pPp9k zTI-9wf?V*KrPIpqo_!LN5~QStPqPg0MP)%Q*uU$D@}`qdpvFvxTrmCABg(fgJ)QzV zF8Jt!spb9dIgSEBF1W9AYI*jyV<`~ig36v#%P*ed0zodg^QVWG_uX;~H3Yff=_3y> zZ@bI|f?ROp<|*YX$Gbp~3$9!|rM!DT7YGWo))hXsi$_V!_uBRQLXU9kx$F=a>`AlaUEzbe&@+ z{N}UBK{C3|F-M*KS!6#MUFVoWkI&-Wk}vV5nEX|B z9jLox9xHWZwK8R-D_@L`ta7G|beYb>$9H6PG-albr!JY7mv>}UHD#nr=9+stvKpH* z(q$3Y{0A!nrH2bWdPO>Hudi)4-;0i{Qm5})6!UG{fO1y9Q%1V}j*cs5RXt^->&(sN ztlFo{1lJ|=z+cLl1=xXcT{1@;aA;->QbxLDesa~JnO#U3>H2^D@zBgfq>OaQ{A%K7 zGHa1C54bKFm7iR>k}kHL7_i}c-zluDGvC}P<)8lP%5cElwmO6Ayt?bkaQfYaIp%as zvl}*=#MYK)l>1kfD8+?YDU7X#IYko)z3;K!4_b!Luktn5rk)GSas5>g%1HR?J+{y! zFx&<$G#PtLynz34;Gl)&xaukhPc|%#Px%F77nXem6>ZDS?=EF3h_x>Scr6+;0Hwwh zx?=9au=R0Utwh7q>rSUFvK&2e1mpk1kM6a*78o{KQnuDSqr73pCH#+*)-EhZ*Be2s z56H^xue)DQ%1DA4h_GOF0%5KXDw1BrA-P7>WyD4=9MOCUXmeLTm1m@je`-y2#fKl?hugGvdG*nr4Yy2MQjQC^ zg7|QK?zb!v7>3_(T4=OeUU03JrnIriISM{57ss z`{(n^(b+~2-<=E-?Ra0*ocgG(Ix3oXfqskGW$2slCfj|+r)_IZO$Yk#gNJt{#(Br?f*Ek$!j~VicYt&( zBHa9tJ-z}=^sVU90ct(*w~?8_Mwh}2dD!xzxpzD@GBd%7 z&v^HiNn_V0G&&t-$iw;{n751qR0ZU=K8;;|+sMpfqc38X9JgK4H8oQPeG#+UJM=}y znFA?f!<>ih`4ph)cg`G{nRawV%$ze$LWb@C@hX0{tW6tn429kzbc zh|DM}KI6m8IcC<<*px;e#!P!yvc!taJwLSR$QbkJ5ADwtU}DA9BQpDqZjIS>-gm~r46Q~0JWYNIU=*)6Re|ScAc52>l}lQj#+Up!z+5#_Glxb=!l00$JP#PPa~&4 zT*@pyIzsFA4~y-YhE6PHCfuXlYy)=(n>4nV(C8_dkq?FQEN2e-k>yN`IpRn5j0*6E zPJ6sPGvnwynR#c<-0~yK8AXKqp``$|-W=PWnQ?Td%)CQu?T@V;RygC_Su>5@>yF`> zIY%eW%zT*j<3h=NN|aWCO(4V;Q?yB{p8ThxMRFz=9^ky zhn6D3%5&^do<83mMKM(UaOlv?!pp3MEtY&H%(wT>(N4KSZW)m zKRh@y=^y-eP&v%}OkqGvYG4uj!Gv(pU4;>$>-+Yl>iC}&8j8*?Ees6L*46e5C;p`H zj(I0l?Ej~Qqkmc$H4KyY)m<|CBv#dzemU!3-6pdNNRfGsnfvNCnNcx!(der8Z>~lQ5C6a&U|AsUxp~FhKAGtk#QQ#|%kEoXnBD~~TPTQr@+YnBlUZ~@ z)cPj191IUa3u^~)U(QEf?vojFrN$SHwS(v*pEA;Aj->G^f9mdi)n~`*LUfr&Z0xg( zMxVWZb2VCcxVYQy5~~i;aen)|w?)u3KG)-Ix_BVuE(T{Kb|n#QO6Et7T$-S^pG z1&bTemEIyVlP;JKaMI800R)C~er6AV<&Nk<-}2NhnMoH!t;e7xFgy<}EPzDs`Ik=Y zmznYiSplOUB)+MHeDoDSD0#J3fBI) z3C4L~`0f+dleJK0&qbj=3{B(TU({f|DtDnTUEdtGLVLgyg+WC~Lf0Jy^P_36l>>bG zUs&sr8+~Yc(*8~nY$dSP6aD8eea3`}pb%?4(RH3eMNo*f9(m0VlZOH0Yig=K3N}ej z4wJEQ74$6!uB?u@GI9D}t1xAV5o7%y`OmKfs3sJhNE zSgNolA~gTXzEu3%$2;P@jN&67#ynvaD~;?*U@VDX=Ttb+-~P+O=++^(eY_*i)kqi) zf67Xx0!%iAu<(L?Rc#;Q0pZrC3cc%N%=e(C0M$;|(pcou zj;yT%*YDmDCv6lTUcqfDz*|TmtfJxbspqFy#(Z+4WlW4YWTUAmK(#9t?$!}o2Q1KO z_tx;WjaJhY5!ORX0c!PY+O;Fj=3wbBy3ao|thT;C3B_jg+QaQ()5yVJ8qpD_cCf-| zjR&%I?eO?Q6dBI^mHoK_Om4rI+B@R(4%RQ>PCsv|J?X!GWltJoK7yJ8RBPTotRuDz zSQ@n!BQzgrBg_8{>4?)XiqCkT6-r~TO=v8_Mo)TOQ!{0-;%f~=SpRG5oyWku@n$)1 znrdBI#Zc{sn+A2nnH^b{aBbN>i5vWkeG(UAMm=M`^sq!(%o>XsmfEZzjBV?P?ZP2H zYVHUlrWFR%%jAW@&(eRM7CRhafiUHX!f6}sdbV&-J!f2P(XQK9@;E=s>$rf2Z=AIx zmt(Y!CwELP;pG_Va&b}%bU7xj>e+v_Yn?8~xNhg9KegFMHk@D1AyUQqWoY`Xjo<`^ zk-xQ)q2)Yjoo>DIBCiGU27P63ZjOqxHZXBVGS5UmDCY(v|NsmlAV~mKB+f77TMtTwxsfJL`$~0%MMmF4NI6VvfldK9AK_N!8SeT5dwkm=`twL6Xil9)- zk5!=}D2!{6S+a_tPz#P#O+`?sRimm<5fsLyBV>^++MfKX?PI1TC(5-Hm9oroEnVdp z>5|bBSdNh{87-yd80qR87cS@J80qR;87>Rv7|d*$2LS&|ON4nw%YrbbT58NO($zOW zTn5cC(hc)An-hc9H3?zD(cBZ8Hn|ll>_c znu={p3tO+=mtvu**fzGXrRp&h3r)qgy@jok?nANARBW4ESSEgwVxg(nwz{yj>Afiy zO7S4SbKCI3mY?^c*wOITmckG{muENEZT}4OX=tZdXezdSH_RZAx}p0Y z*6OdhF0&FTBVDFr8%SlQB4um~D(I524Wu%AkuuUHWBW*DMk8gUOU8DS$}C69NSBOl zDwUa!l#wnO+f*tuA}J$XGPbEyW=T>;x@2rqsmz?DjC8}6^_GX{c4jsuWu(h=Z2PIq zu%wJ_MFm|lwzpJfT~bE6WNe42%*3RObjjF8Q<jgXJA#8fx+F`UqpI_x3 zsi?;I_;{bDTHCKI?ER?+2Y8e+qeH!X3rVtY)SLq$O@ zK>Ky`yY9a#$OUM*dfn+`7(p&To3&xa=a`fr7ofG;{<{083UUD&sson0Q>q{rpow~> z=5!_{$OUMeCS2g|r-EF7W~tvRXHr9u3(z3FwAWb_2yy|MqKRkvz*LY6&8lK(1y@&#b!s0j0c@r(poU0ZyUW})Nw&qvIE}=k>3((4ZtJk>{ z2yy}1m%Z1!K#&X2vi!RJJZcDX0os&aD_tPS1!zq!dC~=fT!40D(^eMg%@6ItHY*{XTDPyT4<}PIqDS< z2K<>COn`*#_&*PO9faYwYDdMz`^Vc=EdLZ{+v*>Qx&JnGMNkNPZFLaB(sSpm!s1aH z&uiFgtBy?InFHcqD3%1Qwbjd=2*r|st+onE;uHU|n@|LWFw#~@DLe^6MNkOqY&Dg_ zuR^E@3SrW%wo>>Qgo>aL{SK?K+}W(8Vn{(+3em~2N{STf_3Fbl@7k=HUTSz80lmbq z)dCG^_J?)1HME6KZ?pYVmFMBuZN{W|92Vbc%sMd2?(kz{u+b;-HN1|1@*@m?&jNb> za3lhH-mnq@JzsbTf%t%bc`t5@itbpnMHdcTi6yEXvVvPOrvfR2<}X_%ylA4$QYu5J z*8jy7Sg+!BB#}fZ6{HHvS>)!h)Rv@HhbCi00qZw!*v#gZ1((4EYs2sl3ilT>m(Umg zYtI)wJ$r1oK=j2Nhk)EG!~w2K94|jQ@ox5c^^KBfcyi)`v^pbzfm7T;tqdd4g%5hZVdv_->%yNt#XLt{mP{- ztiMV|F!PT4*hi)po!*W|K#ptSDg>h2?V||DM+zNb*z$2QHTJ8MdE)9kRRV9%mg zOlf@g*e*Lvsx@6XlTsQBgKfjye>nY38Lg7wh>D2qnO$m?duR&b&f!T?A1?pXg(K_}`o2`+t$t~;Z zd*@MJke+Mq1!6Op?dqPk9Uqw(TS4IkF{vg&t#gdT6B8i_sT@e&wVh?VM zNSi`MP$*|a3g3jLA}EZ$hujAF{)Y=ay{DsSBJw4Keo4NBX*{o^7ol&7Ovk}Ap4V~{ z77rL*YZKrPPfL!o+ix>5_M^yxc z(e2K5MeZNI+uMq^Vkni5U8qVGL#ce}nDFnBup%gww;YA$P^bG+EQ#m~x5Q2t$ozOO z?o+$#^^LW?GZ)=gEh7B7$;Q8v`q*Dci3!Mm4u4@M!??3!EX*5!JXY_0M|P{J=LGYyZgY0=QLulO^rW zf3{UU0`BH#Th&{jr~B%KVa>IB%T3R=s&6*R9qVkXdIa1*&bF#Yz#ZOft9lERwBZ2n zN0r)I&xB51-7VbysGX(CL z>Eo6U+pIV%5BYQgSz|``H=**BLV1*RZ{vmw+Ar7*r}KUidd*%iHEO5>~d<3i}< z$9Q*Oyp-3mL|FgFb|9i7qi-lJ2l;priLPdku zvCQB|k#O}uyF-Gt){L>4ttApx>{|1X7}1Q^HcU>ie2f4^OAH;&58+eb9;D= z*ne`F z4qlf--5jGDS{0@YUR-8n`JD1?O5=GApDh^QS;MWSDnJ1|vCI%tS$;=pJg?z%1^Yba zK+Ef=hFgJC45jnU^D3Umvv<4Qn<6NL$COUwfxo3PMzJK|FQxN%5<;;g;2H%}Z;l%S zhIGVPN5ztWOOy`dk!xr*S{whvTT_Sel&-k9cZba?kWoCZ;W}lF1@eNg6z%ILOV*00 z$cAf6wR?mk-!1eQ_Sb*ab;OgaR5O?aYD`%1dSO6MFRY6rT3z<1Foe;~bw@4u*;Px1SY6k56AenUG_oOU9Q{Cgm9ElJQlQa*mNM8DC&IG{;Dn zjIXtPHpfVpj4!(!mSd!gPq^FKxlA)9$4Hmy_+rfAImTCSrjYS9RSSZH9#U*(ecx25LnB3vDFE<^? z4Agaw@l~gT;g#Ih&Lt_gM>R=0zW8(q)6sP<9bbc*Kt|U&#uq3ik|-)tK{=eys%t)L%J_215nzV?>77;CCuNF{d}zMM zzD-CYQ`nbbrtv=S+OM`j>oU{Aqx)G~At{sE_~%vG_h!Dh#RCvR!~UkFh)~+!v=pG$ zW6!S2zBKbyo*DcvTdiwoR73=QQ;9V4#UHH7zBuz`AzRe2zi3i`nt=RJmo#>_Z>-8b zJM%@Pnf&7g2iWZ@A}l|^ZdU=OrBy&)`)boHXq9xGV^p`8vaJr1+Y{=vcqPQW$3e*_p7P1$Hn?TH#`AAHHTr zt6oh)m^jWRalbyUwjuluKTF5eHiy^8)gC+)-Hm@9a$I+{yV0g=ciCWH5@U;;OSLUbBuJ!pl>kdqbWH?x@6op@vs~tT{7q!Y~5pWj*%`I^bPiU=`%S- zx@6Ed=vsSdj*+hK|4-M(a*mNM(?Q>0+X)>x2K|b6&n}Ze-(b_plX8r7$)Im=`_hRy zM!ICsH`wo<2{}f(WY9O5z3q@3BV97+8@zZ*o{=sY^bPji^66YU(j|kw!M4ltjC9GM zZ*b-KJR@B)=pO9eFV9F9a|y%Y)|e-x8AeX19Xwc-jUJGWqH^-GoMNte(X|FmJD~e(mTPrQOoPpD0 zv^nld21m1YI={v8S7l-;xnx@6GocV8XtMU|1R?|Nu{FIBr!WuzO%)LZ`0c{19sDudpK z=?1KBwbKbR+QBM=u8Y||-VeG>ReM@x&>u1zN(No7s$H%!(j|kgTh+c-8R?Qi7qHq+ zR2k`#afj8|+*BFql0lcW+GbT5>81s6M-*M)6T-YTwQXM-Uas9Ryj-`awaJhQj;#H} z?1^30A-02b`V|L;KO9%rmnXeqqkM>jg?Y!%df0*AufII+pHBU)ByA& zQB88mNYnqzdV{DkIY`P5S$8n2W9ffM*&*u>X7w$Fr0kG&2(!wULQ)RTzh2kYzSoUe zJxm$tdP3GC%<5zcS#J``4q2BltDh+(W!r0@h-s>ltRIAcdstkaZ0+vyehk_WbrjoO?LwvQCCcbL;vvp=rR@2B^t5op@y>ES^yu z5GKs6YiLB%fZcB(f=}zUr`GMJQ^JpAq=2yGvO4p!vea};_$*4%fY`H<7ods2_9zetqM!v$1a?4yz@aenbJljFg}@#s zh=5jAtgk5~Wrtv}W8F<5DFbR7 zZpJ=Vq$AN=f_gQV<`4}`|#ASpZKgQ7enWruu#G&+}( zlpXTHQXZ1BLq256LsAaU4==WbKP<8#c}n^iYIGu14DZNW&O{pCT3FX}7ylCW8iWruvg>4rIlr0kFnUERc|kdz(rL9jO#DI{fwd^qjRP6|ocAs?7~1C~Nk zt`_0Ol*FgLTh6Q7ttlQ*%r>v4(Pshd^m*$B>YU+jh=hgpORYbxbBPfzCNy7Q!=88; zF$6k**ah(fVh9AeARapmK|E@hN$I>`{WF&U*w?< z@a64h2mV5!Q+p{8+SeaYXZu1R$Ti}Dz7XgTUl-^kUjBz57sOL}p%IVk z#k5*$JaZHRL80%ZeFldI+KN3(sai_LgMB&076V%@svB6)^x6RHhxzncsus`qg_><| z&I5mWuPGGI`y~|5`^D|0P(1sWP(1s0XDFTnOemfMyfYNf1jejj*u1{3N0@j?T@U+E za2O`q!jjE4(-;qO-B~w6{DXKR?9Na;$+dZrb^CR)?9PmIeLf`~QM)swqh%e6XWi}$ zN!g)zXztEXJnWYD9Z%og8IrQ66p!)U8IrO?6`cpXGbCj}I#+mSNXiby^NDkiD-&vu z2OaMWxw22H#RHRfW~8fs)5*&_LsE7~$1vxLtBziFNas1{ASpYfgQ0VflpWHE)Hx`g zD$Q3HkFehP#-+?zwGD4AulvSe`*5a_gY~b3x>5E4R3pdcKScn>7DMrWApir5k@v_O z>P9udsK#+~7_qT$TR8iMx|VvFR2wOWD~+-5V45-54I6H#JFrJ12I65}(I)Jc&nWh` z?_!!cP#t=skvJjUMzdi$0OGl?~g zXi3`#bs!tj9@=CIuSJECx0v}xdx%}L6UYT<591`FAPQR09>z&Thr)l5D@lnsmJ^O5%XK~c@zPfs z-!=40Q(t_#8@r3*@$`cI+RH$A@K(zMw3%`GBbEXRR0^meC^YxAvBaL=w6TPW0j06L zG#^*&AKtsQZglASZC(99*Ypy?Yu5=6+-g?&8YH3;lY*XWkA2ggTt$e|ShkoiZ$YXu zMQJQ-l+OHJo%s~vnN`ww9g83{X45%8@5WA=%os0$sY1ARv_0nQx7GD+QISkYPGf{& z>+BZL=*FqaSax{}l&SzzRir=M=1uViRji4`^3DWSAbFK@N@E4!?Qb9VSU2`L#_iYs zd0Hi2$BHp;R(Z?_vWc}v5yc{wzcn82KOyOkmZsD{Q7bC(n-Kml4rOj}Z*00n3TF=I)A0u-R-!(=4| z3Q&O74)c_SDaHQ7Cs(k+Fz#5O;&#TTXy$~p%jf=Hd(n4QpHe;)(s}p{&z_D z!|ipSEDR63e5-Dsunwf8B++BU0!4n6Yn z2AA$_>@CT?e$-&$O7kLx zr0j)DHJzE4R&*(c=hs<_TE4@|{Nh*Z>Njk;r*6-^?8Dzi_L`etsv9-To6mE)k^MC_ zzcSaL#k#gpYS*&jps@I*x<1swXEG~aGP~o=?;>)W-`Uu0m6g{@%lk&DAXT{L*^S+{ z={NlaO5PMNp|%9PmI701O$Aa2hyTXz!`tg~sj+wG#%|m1o7WM-*vXFZK?8q&4w5qe zB3Q#*-H!xaw_z1e_2HV$i6#VkCUv!%Z z+yQ#<*}DEis?jNqu1FYhc5y%nT^#$Ij*9A_@LF_O|2unJLE%XY*wH;L75l`F)Unfk z){Sj<@Dd}$TOPE@UZTh_YLlsrn@>_+k(GqP=EW$440~JRJXf`)~BF z>`QL)>izIKLQDQ=FZS-=TPYVA9)%jZUG{Y!(aK9d>BiT6_{#|KmK$ERClwh=ubLXV zV)nrw(VG@L+HEJf@j61L);2VWPx*JY{kS_!T4c|QE*rkS#UCOT-v#1YbSTWT_Ob?( zDywshbVIk@PLucB9Qy3#Kk5#nFR{UxwQvos2x2o5q$@0JdD8M?}H{u>0CTun%E`(_=7(2NV8wu}x+K0t3jH7!O`-QVN*X@q}V0{~50Z}om z|EE1<8hORs$(7h7c&B8kr*^^?D}XWPtS$DC3NS788hP#AmkZ%r)jvG8#quV`JPS1i zh^imSYwze>93H&PI?IPuqODhSihK5@f>U>|DtI4hS+LrM6Ft#k@)pFPFy;+=-ZXOi zvcoE|eegcVSpQ!24tKyMBkQFN>*_8&5(5>39MGd!%g!0K}vyS=MQ zqZf#8s8KS8-r^l#s!G6XQwZJvXE~HcrZBpX*!hCx>!Lr~!^fDf{25Q+Vy4yd)ZrCu z(uPWpjwZgr-ukAMh}ZsXB_hWB3u+1wed)xhmDoa{ON!kz_-~W`Vm4bb85x=`v}aCZ z)vF|dzCAYJSb0vde=`S+J4FBEFLfi@9bvrsn!HArAKP`{ukHL#267O3#`w0oFu*G6 z!GATo9%H8e6-B|tRMSY7*XR*r!;h73S#GTRtK~+Fc@Sy}5Y@Pu*XSc-Ymj+YSTUGh ziLHd9Gd!%j$g(7jEnQ!wqX|VZY@ShxZAOf~_-{6be)@0rUSrIw7Beh0(LIYtR$?PD zr|Zay+xZFi+DK;NYjr(rUj~c&`lEdZgnydt4|DNz*K2hRE?}du*x(H}{=IH!-}>m{ z_UJoxYwF!6VnLYoZ|lRmM_ug0Us|>AaNuSi{(*m6)(H#U|F*1iKRfTkzxDny47iyu z5we0{+t~iF&NhTXu}twN{{3Kf>a@_pHtV?;tGrxAFle3-Go1qci`7P zwJfvE5n>14J@~u>pF&dhBLBPp)HU>UGQ9C0GyCq|=S|7-+m>a9TB(IG=h~=w(z})| zBEzhA?T*~}&-?D*Idd6?_q^>0bsN-_LX$tStP&o0xo$wo-2=Vjj@a077wz{sew!5- zk>QAK_K)s7=w^5Qrb{wo9wFA~Tc9Q~+z&N(E%c6iarGscVU7^g*1l&wiWGceWltd~^YwFzFS`8F+!jUOZx>wHEC; z^VSBd7SFzCy?Eha(|h)ua1M#R_~OKiGm{=6X3yU5TlRa#% zv_I_$AJ`p>3}<~{cMOk{*opt%E*D}>gm)aFElaJyKK6kXSdrmbsKEy%cH$csF2z(I z)gr_P9r0g#(3E`p$qTI1q7x7Il-P+!Z0y8~_Pbs2oRS~>_gpKE=*Pn^CideI8%?xmcXR&w$u?(}N~MKCKYH-@nU(zp zAM*BzmN1R=*G{(jmZt7rH>*9xrVs0ycJUq#+-uNx=W-_hNij?i?ltVYW3kh;`u3#r z%W?|AtqFY!ewbkXkFnCEjC4Iy;Efb( zW(vW_30)x+Q@S$6TAxBvb_m``(W0afT$#{mV@mKwiWVz{r0fvfk)l~lAt^fqccf@? zQ%K4V!5t~u^c0e^L+(uLtw#z;*&%n!^>!$Qq?~;TGT{Pm?NUa%o{)PAdrO)^?i{SK ziV8`tfJwPpCBr9*#o=Mpg1X+CFrA7u(^f5?!t0##ptmfa(g9O9fBrvg?$o_neS&qW zd-Gv3@|7<6ASDo{I8E6hOh%s8Ti#3wBxQ#%HM#8s`9&p=lpVq(X*JS1g@FadVu_&g+KEi?5l+OB;Gi7Bz&`;AVdis2pkII1db zk*_Kyxpvf247D&6vpY%BR1BpU>Dj|1QpHe;!KCF!B2^5f7@=C0CQ`*vieaz46-w#o zfSdSypW@yaG{<-9u2F6xqC)c_HfLyK_gFYm#=S`PWuhGT$6}U37|@%tLzq;E1vZ5+ zYhcO_VZtI7{S=b2Lzo+hl_-UztiQ8$&R82$NXl4!YHPPio~?U$wN4q#;Fzv6w&WV^ zL&{)+$aMYBFcTFm%?^+&JA{d@XtPpC$_`;xEn2@6lCndXv5R&zg{15dW&@)|P9Z5{ z@m1brZrW2uy7a(kx9QE;Mx+epF0EPcKf^?6Y;95qbEBs0kWclxpK1z8nGj|>8yZux zre-+4(;FOC99Hbn){XWoZU~EO+e0;++ON19_fCzUtqKUUnv1qssGU|HKUKxPsrUq( z0&IC2KLHoyg0*(IeEbAlkPEOGYWxOVkPEQCY5WFUkPEQ2Y5WFUkPEP%Y5WFUkPEP% zY5WFUkOa1$Y5WFUkPEPNY5WEpJEI!p0_<-ZzX2EI0_<2CzZ4bZ0_;{AKLQuz0_;>8 zKLQuz0_;*6KLQuz0&GnhKLQuz0_KLQuz z0&GAUKLQuTIfK^u^RMc_&Jrj(g2JO4qql0RmXg@9H2WYt20qzZl(*^toTcW@s;L%s z7=+ffe=$?z*ZkjV!?vP0OgGSsccT~l$nz2r&jCB3qu-j&=-zg+z zhp-E0tm`QxWsYInZNt@A?Ndg&Vb(IsN!zqDT7Z2H!nBc_^wq+eaBaWZNjH{chDOE9~PyMlpXTMz=uUCBxQ%ZVeqyyg{16| zHxl}eFS#cvJLC<9zT-2r0kG4Fg`3w zAt?)DW8?j&6q0gyFcjN{vvKlK(GCoCeN^O4kdKN|#@np%{%77C=`+6M*`(}{H%&e& zN>h@uL*7jJs3?V`?2tEEJ}OEfDLdrNmye24NXia*Q|1Gn6q2$--mK*zDLdp%oR4MF zl%(vCH+OkR$_{x$mxrW`Plig|?>i2iywT&wawA&U8oIJ?+RgZqxd33>ZWnmtCxHST zXm!1jlRyCqykV0-0Sde^lRyCq+$@aoyH$V!w+kBb=vl*&?Kb40Rf)q`bOqc-LhpUWRBn^4o&c%^+6>{6AA&(o(I7Y8RQubc4n_hjL$0pEkNy-kn5s&i*)s&>{ zkhcbL0-_2@*&*!K9LGFvZ~gD2EQrlpoD`|1BxQ%Zt&7tu3FOKSd8-*GYO0Ww9rETk zPWestQTjA@5wo39Tw5Wrw_%5~s$hkdz(r?oOO!t3pzS zcWGNY_ht8HDa~-{h6kq=OO4*Sa;T=}j_~~C;^46E`eKjpk@eDSJT#+>1H^p5b7XjS zaVl=b8CZ7uHN`@Gt}siX6-Qyc(&c++3EvsPiCCxgK9i{k-xT?tbasdv%8uQUy3Iw?T=U#PReJTZlB(T%2 z?BsI_1i1i5V(sRNj;1rCL#-HRj4t$AAc-Lp?(ycnfqCthtk;Y11q zxd4Y)Z94e`Pst${-~g-JmmW`nAQ#}&s{QUcjsih0z|mH-w;fA?AQ#|3s~1mkfgl&) z6svu=977F3F2K1|+b(l~AQ#|Bsw>C4K#&V?8rAOoTp-8=eiD@n1ku4Pw0^wUTsS5? zKfgGV$LcszQ?qBerl!bS!U;2$rg!TUpdf{C+>G6kLki>|oNHsxa-*fPqR5x>qcIK#&ZfhV-9pIa|zSIefwyw*we z4&gAPSa)k`s*sc&!XZbo_NI`O9m3IDvHqrzlpVqeNwEf}kdz(L30S!sm$Fy+=k_f2 z$)b(!Ad5RrqNW!`=+KCL`Kg&MI(;-pisRy8mZahv;de2g z*xznL$5T?O<1G0{;Uq|PkR_$@AWP~}n!X{CuKQK!>d;E+igf8?km}7*D$=EIL8@0t zX?(}_8IXNt{>&`0HhsO^n_J}7}rnvuk6;UZ6qT?$0 zEl_ynG1i`3 zC5_Tp1R;%wRZ^f5$m>`%3GFhT$5m3Gl9?*-Axk>1k^+_3RKatiYoTSf10RE|@e zA6QW=&!U`1=vc}0Cb zt7sze{J^aTTCOTUK|D(kDVK_?d1PfO;5FK?+YYkRlne^LI>`QBF)sB(DSfNLnKu>t zggXwh?=-)3P_ciZBiwpW@!)uFC2^fm7;MR}|JsACY$$@lcv2z%Y4pMNPl};59$nb+ zBl{Ysy&ONtQ?#dU&6ZU;-fHR1vF$kZITi3a9(2fm`TElMwiHby9)C#WJ=}<5D2?YK zUi*;c_}-tkhfoZq@$AZ~R56ss;}e`x;zty8#m=9QGj^Asr^aZ$WAMH7jqECR_+ zuYCX0)_lY=I_D5;KDv;!Sn6dbD?%?8*foY`r&e}bz`mxPVy(;M$AxL&ZVT84E{B!he9zxUngdY-rYW?Pi$7_=jA-0p zGkpD2>wLUfF19pa4m9qs85YmB=n;onp9Hg>_%!#xL+$S|yNNGx&q4sRnE2TCRt9Xk z65rN7ivXq^@p)|FGxka^JFM8y%TIEg))8j67u$KtB)*OPg2{QxBtC@=7HGiiVccsk zG@WfX@h&<>m@mXPum6Pt<^}PI>nA^J0nGp5Th>z%z&sy5TD=wl%;(`l)t@1NIWFv9 z@E-&)SBFomKR($4J|}lZI1vFIOcuT}xj3#7Q{LHTsJ4n~a}K_W8h0-c;5NioU;F3? z%2_Oiegvg9L!}gx+HoHP%!1?VYi^`UDQ2_dW(Fb?Y5LMcx@G(0VZ{kLXYzRduSl1E z^U_!u^&W}nRXcLg6svN2v6RL)&f0trqd8#8S zDLWx5Gem^DJv?>yzGeGJh5}4eTfyOVEM!b)(&5E@OWT+6XiQ2mR~Tu3f>q4neQW{GuyHM+pvPvnX zvG`gSs??$qOMyeaOXJaR_bbP*tT6!{KR=Eo963-*A(eI}Bn7|s8;-DhSMjGb)(d9h z(~zn@P#P-=rA=4Fx2ak}Bvv2$92}mtnBP8w0zjc_W!<3R)wriijW`;Ra!h&0eGWp` zvBica#ZU?|%-q-dMjwvkP2nI*-?A7}f!OH)^MX3WayoS|VThd$C{Ta`%r>H~QJ??? ze*E82E>M61KLPL<7brjh=1H?1S>Kv&x270MG1Z!FaxnUfF~1Z|1XH%zP6t1lVF@UP zQa?A)wq>1G91`}NVHQafpW$Q+gnxn;L*9?3)P4t)D#oQYl^ypx2wh)_x8vs#`XkcxI#_X}-GE{!#r%5Q<)GBBY1a<>#w-=^8Z-5<@XsG< zZ%hFSFwc*D4p_dX%(S!>Ln)RDvW*TdfmAV+VzmLS0Cm4Rv$#vMM^K36if{Gu%|T4o z(BcvEDa1mEHb4!Vug-FXu})wOCEMfR2V>hhV(r6nOx)w(kRLT$0mmK(wMP{94R_2c z?pq&=#~)_dtBa+f`6vs-_kQS6#W^}2^5k$QBzhg;6$Ik@=zFvUV%8smK+Ney2*ey+ zk3h`D7ZHe=*5jBW4~0Y)jXTB!{?zlsna9`-#0UH}Br))j1xi>~iuNUa@(9g))H;u+ z99tY+O7kKml>%Q(DXr7Uu(+9tl>O~}YFcqnG1`j(8+JdgcvF*oOUX&_@QeAyQA0JM z(Czd43$tlJn_SpwuD>Zon|dxRhv&NM2H1zHGcXWh0*H-YOo`^qQ~1<=Rv3t+xMn4M z4PBEAgd|86{5#ns8O6T!ah_t{8K$Ob z38GibU09AEtVU?d=DPlatI;Wb(%OY#A_<`)DXIiGj*^p~YtzDBJL{Hs)5gK`3 zI}{$c%&h-rXvJBQtw+o8+>~(tiOK+?QuP?Ai)dURDho&yZnTM_>2;Uoq31`_&$9cIU&oVX5vJlA!|uVHO^NX}Go=I0O4 z)eH}HkAC}HbaQweA^zp)bIj#2CEvRCyztRVdtOZzQN<$5@dK(jabzDtma;j`J1t-z zt4_tRl^U8+;&q((iQUxg69<*!RtFZD zUB_uH`_QU?SaGrSc2o5@8m~$f!^GoAbxTXJZAe{5vY=aC(y}%FilLFKP6q>eJvz5j!zinjJ0K|zm{IhM6(^2kqr#C1m z6sJ4*p&FK&!e95aof0bN7I(KjZ#vK_g#WYuwykm);D3MT%#m3W5vNG_eCrM@YA=u& zJ?lKvRe-vaKQS`1{c%QxcesAjh|Ic6kSh4PPbx?i;&cq}V*L4LePYZJ=i3b^!1Oc~ z$m=+9!*_b?`Swm@%>7VPfant=N1$PFHO&k1z9)Df2gP|HKx;3sp^G9iFue71dwXf@ z^oL7XV-aVL_(V2esCOjq^MM=V^DDoje9Q@cKHe!W}+fR zC8eBnQp!n*D5*#>M$em$iG_-VyqMgiB1J=^q=s$-GZ-Z)6)7fN$?!&n#VssSZgJ~d z&wBQA-u2FVF25gZ*4cYK>)HGK*=PSd>%Gdz(t%s1=||T!cjaU@l^<9| zW9sSmoKn02G~H#Nzm6{EzK*)u7*me>dEh0d6z#s|#GJ9?YO9`7J_J}gaM-aQX(`%! zOf{Yetr|1zi6Z>Huv2J-%9xje5V8V{ijDxZr8`Onv8RpO>Pb!kx~lF>WHm& z5@PD#Pd>R_-_dIR&b~byT}yEVdzJ-5i}z*#PShdN@&u%cs~ys%@v z?(MqAlX>-=Zo_g8jNu3V->6|lyDB3OIx%Eyk z(+rvyBN-$5%;+?oyQumUSUGjq?N(_f&GqC7ab{jxJw3YH=Gd^%5oV0?DX zVKVZo!3n+GGv4$}Fd~?D$&%boM6#^j4d0mJ(|4*5oi)5@KxKs9_9?aGXnts5IND5J zLrehbqu7+;MM(feoEhzt(uf>$g&mB>e4xIyT{-n7MMpY$Vu3eQtiZ{#`WRrCC_Fwz z4LkmaFDZJ^GV%-l#k2G@B=hROe#)?zM%0JGPrm1vq7ju5y3r@rlB2oJ{Z&8JWmg@O zjrt-vO$d5Lld%{3gqV>4)fZ6$Oh)RX=l!=ITePk+LVTI(S=ZYgkSwbgUvDr>RqBK4 zmrNQ_G@LT>F7*S~+Z#ApR{H_N?4`cdK77xJqP>(6y40uClB4o9tjTCOs8{;GwgQFM8B6@>kc zXj6Yax$xRzqQ#>U;0+j!QidC0*2RMpn9<4Udlk>fvT;^yZmq)hN^9p&JOxv(+%p-o zIsM8|1y!2?O`R7d|MEsVQ|Z865B&BuZJKT2q%0#CRBe8A_=uEJSaP-|rk-^7YueP| z=G-lV8@wVNI5Zk@9=BTgbkmq2Nx#SR(bW0c=s5K?ZJLAO^zH(DwPCv$PO)zC1H>@+PZQI&i{2UwdksW@9+%m%@kHG^^%>`OZj|yn4yhUEFccKecHxhEs)C zSC3n4LxFTq7*%I~&$<^e_2pk0i@932;KbrJcn^)N-n`iEET!CK&PJyLH@)E_uWr*M z3uloRu_4>H*y^g3e*l&a9G0eaUFY<2`W!zUG#dH5a|#_c^JY6Coa5w6BDv!Ui5Vx} zG^S16aL$9~)t^3Yu^L~|rfHUx?2IsHKRc$r;mMjc=1&n&%v;@2pRqbB<+Y!&I;I16 zcHE(sHciT4rWG#&hjjy{l-mGH2M)Xae@|-D91G@TiDquaD~MK5Mr@Iw*|T)sCt6uXtITCR;G?Tf`7s^I0pjQod`>MyCUJmL_Xm z$1J*{P*kTZX?n?!l<>|aO=IvefZir)^439^OfQ0lr3251Mwo9dB4wn7A2&)F5s>Z$ zB0M7kF!hW$Vz?eBq_rnEQpSn5SPj#GXG9}RMZ;3| zUf~nNwwu*$v+N2RN;K8sJP-#aZf!SfgAt~I4a^Nrn3lEOtPMs9oQ!M|)^@Wt7$q=` zFy(5ySsRTArcv6*-e8o#G{U5+?PhH-O5jAt{p}4#2}~o*l-icm1|v+oVmqs!CrpXj zzUT&{1f~%tK5c__gHZz02y>mbRlC6`foX)9P22R{V3fc#!aSyJA8#;9U>aef(l(+u z7$q=`FgIyi+8c}#m`0eKw9WAiMhRT?#i=p#o0?8+v`JXr$=L9fW+rKgc}Q%#_dD5h zQ;Kt8oQ?hVwcM2AxfsS{qkSzmrFbr;1+c@umYY&M7n2UyT3^deDV~d&4D6||<)#$R z#bgLJ)7NrSisxd61>5LrxhchSF=OMKpzU{jkHprgS=EQ`vgNk`&?PX9Fso`!XM<4!(+G37*7`OW zB{23Ij(i2bTxhUKSUSQav%LZuj4*?Y#@~Mul^;_y*d#0+VUApHop=Xe61;c=;R&&y zc!`#6y(iw?^o~cWmt1+|klukgN51N?>Z2b%a#-)2%a1(w@ao&<9(jE4fe#+J`|;|^ zcMsWGZMwol%Vj2dK5k;kCr#{lmx+~UnmEvEVo$e;t|>zvsXqM{(_Y(Q;=5Ca{IGiH zZKf@mZeq__CVJXTOg_iNjCK>t&NDIgohCYGniy%=-f6RjEIq7u{rQl6?|~fIX|g&I zp60#P#KcQYYn*eGxanLIlP|Z#wOvSDFvE^_Og6C( zoJ|!I%jTHa=7u>m)OFdXhCI^y>bXO1KdjpMK_tR({fDe}mtAPu6CbgPb*vE7T|c^C3bw# zCx;we{mC^$Hdc4sWadZTWax=rtwomGf-~2GGraa}6AP|`cCCp$xF*9tVq*0)6XQQ> zqWea3@KBFwf5t_4?Pp9}e7*S_-)b3mEw;l&U$nzZ`i2};T~r;ix!Q7vrH#DT#QJ|X z(YM;fmamy;dC*GP^vxl+9ajDGlcs*Cg$B|V#X~dmTfa}2!&kxbrU0RH8J+bCT2ZgVh_&8lC>t5Jp}Ou6HRxTn7qQo zHfXzmnDU(=NB6$`J41R7tG@bMb~?IyEpbLavQf$(KVjv#_lY5W)$eY!!=oQJ@s^*O z-He?>eo+0wf0%aiBPI^rZW$MS-+cXK%a9untL|83#}kp*wZpF1cRvfrJ$ASYmuSHc zO>Fs`i6)%deJFM3dJ~hsVWN9GF8;TNY^y%?_>k{c=RItPH#}ty#^d^ReHWMV4d1il zwOh@4&m-{vGZR<+X~;3XV}3ql&dh4x>yGNG?mO)$I|cvGv=L{TnD8DGJ+C*>^{*!8 z|FemC=b2bH!^Eifn&`O9#QL|GSbVXG0~1V48*iei)x@EhCPrLo;=ub&bYEp+Oe6zyvd4j;2oxQO*gUfUra1K$HbaTOw57j<~N$jo>`{tYd5iF zl8L1t-w#*gp>0K(*Syv2X1&R@?$?@_|27jlz&q*fCi>2T2KRg3Y+^4;u%%pw;9Tvz($e;JnOJ|i9gafjx6d(g2qis;dd$X| zoc30vebB_fMP}T2k!ibOyzT=gnt@n`s!o8|_E8gaa6xC{KG+6r)rCiOEywwv2isAo ziqwf=V`_Je_SO#-OGfaIpq(xc!YLroYR?#1C4=Y+SL0xK0yM`w_TK zYmqzI3SaF(3A$0L1-Ju-gLebU()n={`xcm({t**P!MhgP9Plo<(!{Fy(BN;X-3z?~ zA3tjRVb!kp*(tIA)h(#ZR%k7#!b;R~=^_)mud-6@`LtYNGj5CbryQV#akQ zR^4o3-z_HA-ejWdlO|TfxM#Ux$0DQo3nu2@ZemG~iSh8f6?QG~-1Hd}yK)mtmzmhP z*u=;cCOYmg(Y?mR)H`w5ZDKZfw?AZJ+ENoMKWCzHw}}lmnpn2l#I~=Pn2xe6gwu5> zWCcVcaX20v<9^sYyjod&R7Z6(O1}_n+fl6vpEWVC#Kb{p2T;IeZ4tYkHbC`t@}0;^H9}8cbORX?rCO$Sh|d8opSs--PM z>G$1ZV*R})7DF4e+{7rH&fO^KL=9YvkSWoUo^4w0lR;3AMO8l6Jz^L3~Vyd z39%n%ef@xmUEi?6&%imHgHr58$rk*FiCMU3MnJ2eP$N*hdAJ;-aDrCg1dRv9T2q#Q zViIn*#o(EU>$eBjZ$qz%>8nhP+HB&00je`WyAO85zh~kQjGJ(hwn41=vWdwM({YJ= zP@cWlnppWY6SGjWNziuS8q9+G{ol4y;FNXXgziL%cY|y`ES6YiwFMPei&D)0p4E2v zF4LN~nV9$^6RRIH(ehIheUCus*rYGT_TOsoKO9L)A2 ztpm_m+f9sk!YG=aH0{uDOl$>q_kWrg1u^4?CYJud#C~8$K5Ake(l!8l02xzJo;3%| z%Z|U8w(|)S%YJ5J+mn`7vEnU%(T-OAw~6`3G}|ru5)yFu`^q`0{e{S&cV3_bn6I*_4P2K!mM|B)tUHVJQ+4_u$ZmVszW4DPtI3a5vH_`K~ zi3$#Pp|sPHHWH_1+5z*h_gOTSE4SEj^RMlA*RQg97CKjSLlTh$+drge_tCha% z#iOk2JO@{AJ4)a4S2OH<%Cv1qnTK_Mv%~2q%fdsZ&B2W@8P{RoF!qRDR#6m35) z!Ejul4Tqc9b40UUy(3JUh6{8ECVe;&2kqMS?mV*DPU9q0cb z3zF6UYbNt>Ce|O_{DJCm`;K~~I(DZW&H~A9oP-vTtZg#7mGCnDI1@AeW+rn`hbD+U zb{AE5?lZ9%P6trK0Th2K+>e5n?ckVgH%WDk-CxU5iXB#&-lfMi&p59-bFm$-exHdE zA2cz1wTby3Gcj+G+01)?vz^9$AF<=b5c{t)vGaNp^FM21+y|^|vo6Q^xWdHDD=ls9 z91~024i0zWw%y?lm*MbpCOX!b*nP8!u3Jouztu!D=$6d`-E?>u3%c3YnivOPGj2Cg zshG{QkKzQc{J0%YGO>JtDRX*EbU{0G8N?kX4t&DI^e>p0_8AkSK)3TQ6ML^VF%>ml zx6s6vE)z>pgw>xk(RZ7PkzX^hZvGV;x^+Ht@(T&RkCI;>{(SyUSIG?+&F|iP0B*buBsYy$$7~4K%=j)c{ z4^*H0Z1ZK+8L0hK)cF8vzZW$f12OYUCbrx4sII#mb+)r!U5M+p_ERRd;xunVxwoUV z%WgEW%Bt3T@J3viEhzYss)?~E_{y)En6<)0laW^|cbeFTj6Kjs;CghRS__~pz1QgG zz-|JnH5pZ1kNb1$Jtmq^>Djni+n{x#(ra*O$Kpb*yWhlmlzi`fCdNRF`m*`!!_BrB zCe80R(Shr``^zRK-(X@IPWD7xfC*j^q%HiK!Nyq?mVzb--x7qO=%eygMY+swv1ZQ8;YOxp{sf|aCN*<)fYuG0e4 ztOuui+_%l{;Nxbu6KSh(9Y*5pH=)YgAjY7!6L9s{<6InsHh>E~<1Z$r;fC3Vv$7Dd z^*9%Y9x<^Fxx4?{#PB~_T~^ygsP6cqiGw)bD{&#VqPX)8nplED_Wjv}_27nA_wTU+ zZTOWP&VpEqTciWIEvP~d3N#j!qi_v+aMIS;jZmFxH(hn)3npf7HPN}jL^Iq^0Ofdi zX*z7E-QWLX1=`VUTIUfaCLC^J?cXhT!yyyXjvCr^$7D-d@;5u01Hi~*O;rBX#Ntzi z&Z!=nICOS(>S=a3^WRKtIl;s%K=%VN-yAO=hV;MM(Kg`6A-(A&(^j2`!+$o>G2Fzy zrlB^Z`(MjlGsX`4khcCv6T2sw*wtoY;W!h+QP`dTFuO@ZO z;;citr{P5IM#k`0nn~BIO&gEGcl?uyId3qrx79=ss&?pqta!6={npr3uQsDr+aYF; zH?d@-i9@K`wEt&f91fdNtA)5QBOz9xrqgln?80@Lh{NqL8HvL=xa5;@$+tn<0;l_N zIflQM1l;ILzgS)e|vUTY zvkhW0(hi`aV^F=RxKzs~nwS95ZP%uE{l5*hHgFBe6TBtImL{KAh_wlw&6fJ_DY| zf^8qfR8(szoK8aB4nUg+r(03|?a;=aXJX0Q%<0Nmrj2^1iP@K!*oTa5Gfb@etcf)} zCZ@l`MCZ9C*1p5y>E8bCp_f$$a5!_OiB)Ht=$>n0!C59oKum(u4R1HG6Nd-hZDQQp zOw9R!iH-|Rbc1rndrVY5X=42cP0Rvf{FNr=UtnV7$4wkO$0!ebmr*V|#||ez?0KIR ze6sQOcD)Oye8T0HxDBpXb(xq9G4di42Ns)H2a-M0O>DTvLAb?kma9$7hUi1BdpgWb$IS*AI|q;%ILSSqF)^>x#Iz5Y=(Fr<3r@;H)M3#w&`e#DfeIBnB#xhAy?8(wX`WN1fqDXQQ62@~5PcA(^2 zZ!$4;fr$;XO{_zemRxFL77n*ug7VEbtp_#SkBmMXj_EM54CncfpCOcI02OS)y)qM5 zZwb<7;S{gF*u3mP4OU%iV#hoaD?e;v-mNAMq6S-0=CPkLyA|&>ZKhSDIto{zvtnX9 z>;|B9!EPF^%tYAjhRFd`bq;uk-)Le#uGTzMaOI~>Ohoxsqk`j6{k^EiK@@!I#W=*d z9s{u(=XE%WHhqzaH8}Na7g>QOqs%+I?Y6rkx7%(K3cmZDCg$LNX@Z!&6a~kfGZA9P zjdr-iiqSju7F^X`ODwU1+h+Rdb}hPZv}>`T+YaZ0ZXt3ftS~Wb`Ou@Q-}~Iqjn$s7 z*x{OgH?iem6Z5}lV(FJmRMwi9d6$W0KuH7razpyneljsrQ%|xYKT_Ri+#SI13;;t*q7Q4_I!;mrdK-XJQP* z@a>j1Z@{#cXH1OTWMb5hP0aY2iQUj9ZZi54qWbpy`g9%#!RHPM5!vudk}$zM0I6c=^Q50Qq$J``{}+^h9-gSAl#3!wB?VRGJTne@$kMBHD9;UL=ON&BJY2ikSGPzyoZggWnnw*E&Z4*jQz$*4gW5VPT6?GH>$H_xAR+`%Em@ZDQ45 zP4xY@i7hWN*o0r1w(Y-6tox&h$t9 zp8jH)hyIMrCM!_ylD|Ohu%h;EcwwkbB+mJp`Duda!Ks*xn(V~+*oSg0fHvkRb2Ovb zL^p~w?IhEdpo9kx+2NkkOk0VQy8a*Lb@AUpu*#}@$8O8minBHRaMLFK*|e2M471s| z=`@*PJxWn0J6{1-RDOd8p3E;jClLyn=Ic0B3ydady}@#13~JZ(11;c* z*;($4KNia!l+sBe2oTDFvxt^X603Ww&K7<)Pe00pU&q7QGQatN4jLiK0eyr^XR)Tf z`>{=<|1{Sx34S;fzgUGF{0OLiY)t9oh={z*EW$~0?w{xOKQ{BRDX2Ss6Bj?hgdCg) z{nC>3Muc)eAJL}g#jiPK+0A_y^iTcOv0fAWkS%^z3eNDexcc=d=_H8=<$xrjrPtNn z?=4h4x8Pw;f>UI{QvBvZ2GScKl`<<7Md>Wo+kW2NfAl|(*@UyjA74JtIr!7a3=fuc z-v4$|10j?_S^}_>Ert3L4Dtqr3XqG^LXy zBFd~H8hVy}e&*r*x4);;IfOt?j-Tk&54b6VBoR_(1-Q~lGVEv9^e?&SQh!SD3)lEn zNaWyx>L>A~WR3D#A&!@*@vyTK$XpPJ*9Jwitv?65A1_lcPZ7 zSym}CgJY$WAB0@Rv717ekahG*e#%{?r;<9IX=#lW}>(6l#=_H8|<+!tejvbgRv))jv zx;FSh<=>ro7MpYb1?CxEDf}9LrEWsl_iD z!b&>pZWpmYcut?@ND?4f znH3x>og^>$*(X}x{IgsA2IenYpYNBKKVZ!+o^)_n{UbzKa2DaxNn#CZD>{$3?n1yB ze*l|LjC58cB9sGPr{=Tnw04Lep~w|UvXAYZvTIaVKS=O9(C@vu%P&dAemGhk6{V9T zBFe1bSLx)K`tJO(Db{&(l9+{`uvQO9=_IkvV}U5Mf@7tVWW&FXnetle-k>7*A#OGE zxNx7$A7MMM43b2Mn+>vpW2KYC#!F{vh=qFy<0a~4OaGVNewJ6{nJu3$+LYLpdnQjAB#DqZvl2K- ztQqQ04Oga7ByvOuze*=ZL_D)4XSt$TgpP!8xLS~`oBMj6h*-e&$bzqv59(C^bqCrN}T2hP-4 zg_U%Y{L3${>p$~513nQU1gzprf^@(Cvn)cC1!oa1og}F@jM$t;O&XW^zT6ertX|X3a33cR^rC#lOeZ-+Sul%m=`2=iAsnI3Ih@79E4=|xX|&4U?g&497|*NkCM}tl(Ga5uzN>2Y8{gSZSEy zFD#AxyzVy2z}yh5Fv`$8k@f!~L|HJ4aOotmtJtbpE{uyX%LS5G&cD-VxnL!o6^RJt zz{=2h0hcS1*gfB>x!`Aatnj&DIE!Or=_H8=<-k`&ODBg-S+(Z2(9nw4q9)tn?0w%{ z;j>&YlTH#qgisEwB3e30tc7S*A7Xr(7l5xc0O$O#M&l%j5aqyGgi9xhy%k$iSG%(2 zm=(ngY8^_1#aw-s1xPl?tN~6ETZ%k0EnZf%6p5Vr zS(MsBW|jp+2CE#iFsviZBAg^?6{{b23hr$!!C}@1y+T+?r>BTe4y+01A=H&(0l zf}I3UsosQm$neGjTslc2LOHOCXz57LvNSK|?-EVI`1~&(GW_%n%%qbfLX-n5!C@tx zBQ6{VdX`0ma^NeEaOotm zN!Qk5o;FRJ!Wmvg_+1=WNhe7}D93#TbevhWWv|?(Q?yiu_nKa}culB7A)O>PAT1Ee z0ZBwlXGPMh*I(8u7JR`f2jO72_XzN|c1Uy<;nGQx=0p9G#CslXsD83W>8yw&a$D=R z=gOPl!DetQI7w`2qSaQ)9D(*6D;UT@yQiN`QaVW@qRc9faFV1~LtU|u6CJBqB#DSJ ztB58?YESzy|Kts-mSNHCa%94ni6$X!MxU*=7pu$)j+IW5)Sfy5?Wu+@ajr2}25S-_ z(M(owtaOstcxj4FrPUP+IYPj%ibalycp^{bie?c`k~9zQByrwTQE8bP=m)PKhbRlqB3wF2(t`y=McoeM;Qq$~HVBo@iUdSf zSmnVi!bxJ&3RnYh5_O=E6MH~PCrLo~s)3faWfjrT^DImEqm$sS)kXr`wVH5NI!OW| zE3<-Qr9;oMG@`?)QI|SqBPBW&U7s-RoUWf@$d&=DpiVl+I!WgwJXZwn9;aX0ZnMf`4}= zZh2e(;G27n6G|sZgeV8j(DNmnqXFn7Ni(~CwlJ}az}(2e1gt`CE8RJAyc+73NC%rm zI7xo8WnkmcVN)6q$cgPpB(xo70a0&d^S^`PiDb~GGz9WR4kp+Y;vJVn0e#c15Q)}LIQ(n%5)*L-)o|Dk_3ovLVd#^D>xRKBwtfcMML0>qN=}BS zckD5|Sa`;7oWv`tbdm&wul)?Rf?uL@UH3FG<~6~5@)(geV8}3GQg=ES7aQv5VSCgn%!+iZ*}dV&{nW4`jVTY+%yR=CW|STsZ4uZ#URG(9V? zo#R&0Nn$T7v~`Y94hkI6(pi!Golo96}LXRuX7 z7saYu`#}>7U-ll&Z7Pk;E~k^&92XN%-UKTL&hQ!*Tsle8RHeU5I9qtNlW=+jU7|#{rFs zemx}1BBIO+ew9uRyNH``*>l{%XsvJ+krf>)y}0fU0iw(*qDgYshacGV1Q!~-nMcnT zIl*sU*Co!f2nl9|RfLn|0sB(s-sDDOR&j|P{D7x>*Qy%C}uoJhchmd+ELmY|(P2>9Z%^T(Vc>1_Y&cYj5*2$xP0 z8}ZkDY;e~LAM>*ndw+fYb&T^$m7$;HR208WJEcR_k5)hR#tB59tpWob`d0cm#;3G!PF0T8d*I!ax zcjy6<5IFU+ifHL9RvL_ZMbx!{FN`>zKlP%`e*f!$BoU$3$| zaukT%>aHv}i*V^AiThgcz;+G&fS(n#3g~{pN;*j*LOHOC=v)URNlk=b5;PG%AGq8} zqLp-#M1*qSE25>7BlVkGt>zUQ|8OD@&d|Nq4{XJmzs6PT!B!E?V%d9iN_zEH)RjXH z7Vk9yh4fs#H-GgC;!9G|OuYlaNfH;=IHS*L8_xUB@=Kz0);%KJD!;hi42}gSNnFX{ z+&3TCI2chnNg|@m3VxMt_aElx>;oR7&liIyD)L8M(NIYLM>Bu=>`mQf3v=B(V_yrmVb8(Vz@D-YVqQz@Ut3A{rsefmMV{ zXWheUQ3X$fCiQR&>em649uYae<|s2mQIyVN*)-yrY2vY>#tJ#nvC>Hr5Lua(wSP4@ zNo*`LC5?V6kv9q?7$|Fo17l;ohNbhmr=hh!SjquOL`!Ey2CtAle`t{xXqArqe>@9M3h;< zvC>(Qv|#TXT$pDw|HLyn*8BN>R|ZKUB)<7&1;Oi&Ho3|1lQe06j#VDO50pZ&&>aB9lV+c+X>;y@jh)T?fEuzv%5)i(X zOYNqt;8$pJq+J1A|KPR&E+%p(07t;mNs=I_d!`&Xi)iU2u_fqMEn3H>9naE}r(^EH z)<1MWk_b@_oJF{FlB5>GizNhnao+@2OQn+|Ao5IEa2DaxNn$fSQ_|c}1y6$(lw;ej zbdm&w?}X7CKvwX3@7JyU*DE8-(q4FPP`Rau!z#>;YL#3%Ng_fya2(OnSrOb<=yBpA z+QrI?Ir-R#N+(H#C=Bog`^4*ejx9!51cTHOi)*bG^TQb8Iw=aOotmNf>;~ z?ll?jJKH&A$F2Fge{_0FDV-IGh?K+muLze;lEK?5^#W0&4aa(8kR&3?tl(GaY5gPa zYeD0o{(NlPl}?g~D6;~I(pizXeK9o7`G6W!I93KpBBaa;j+IW5bURML&4r7F=}GvC zViRRHA$_bUw7r8z!5E- z6&V(G*X8Os!x?VDVp=WfB#Dq{717d3lBO>FYzYBh@oixG8;iNS#y}KWRE_YY_kL>8 zuG#Fpb;x1xI`bQY z*D-@60a5{dEqGlWJOpX5k68Mzzndehn~ylw!?lq9SR+(~7V1m~A{QjfYGx5GoyEeJ z#u#bfY_0qC2!&u9IQxv_iqLFIZ$y+>@#7U`uw2%+DT3Ecxobr6@d_O4%Ngm72<3o2 zqNTG~-?Lal2W@T9AKq_Wc&vX331?4Td#s1-fthrY2!gsR2UZa+og@fcm(Et@TaTXP zX9&J#o^-OGIkU~h|;Vjm3woh&~BdLJ_=9>Ewuryvz)a zl}?hcK0mkr;~flMcgy|9`ltKI!Ff>dI_ZrF<$yk-O^?CrFdvAa22SvlcaO04^v!K> z_TtM%6mfy1lO!UP1CofAURU>iaG;7s@H(6##e_jd?W>7&x&Wz^S)nLOXR+dR-K&l{ z*1yO>&Zg1F`WHDUO49Z5R)j>e2$#;9eENNtZu)9k;(t&3$YRg=8`q8W4c)L(dLu$s zzIY=ulu;S1$*=ncHoiLT6TD*dON&kCu|-rmNg|@m3VxMt`rzPo>;CJw;?v7*t;ZK1 zEGnHOX)f6Blrk%jD4i9#vtrbizoOD9Q0C&pRq#6LB#8*+z*j^|Cx_p_3SNiXPEn0;J1Tgc(gP#~uPd`cQIyVN zr8@-=Z-&dO;B~m4ir{t1AW48!WQH7B!LiawVxtUA=x0-Yc0v)nF18~|Cr5$Etx+j6 zgJY$WsyN8b<#-^5z2wDh?Z{m9~Lj?&p54h%@78!n|9SnMWCtJ zIZ--E0wOE3f?uVR!`=*Mrgur@#`!O+1+Tkv_sjgn0>{cANq}T!R&cCzlEg8?Lyxo+ zQ8dn8(^7Qtq=UoiA0f(uvj~??5^GRf(Rsw9CPF8TNbduP3sR&+&d#LtJW^GiZ zbaEtwg4Z>g(Q$3Km1S0}O;!_s-eW&0_JEXLRHQ(ZS^46nGDw(S4NihLfMP`81)^^W zl}?g~D6@iJrIX{1r*7T!^ph!gUCdHX5RR2jk{ZNj7*cmLTEVf>Ns_*=@rv}_d|c6{ z#I9Ulo-#-hA$4XYaFSRv)SnuzERG^Y2){}vM@019oU>ff42}gSi9J|F@VeOJi^D3V zlO!U_tl-zv%Y)acmj<)g`;*RMS;JKXuTu`_BU(C(l|~ufcVurf1+T-1kTXUQSdtHB#8*+z*j^|caHktb?P|7Ssb@YCy7nh72)ob z17~&U&{6l-JD!q$ys&U;%BSO3XYYYNZ8JMMdG*!O?wf%P8o@$2C3A{IF+F2 z4@xIVdMi2!US$ei7l&?2Cr3c!l`=CpRys-2w1SgpJ`_38vC>Hr5S213qVrmL>x0*6 zECVw!hEy^GL|Jea;nGQBSFu%7Ru~s4 zcwPJMmLhnabdp4ba^TC*wcvGfMH0K`TQwK_@O>xyTrix)v9WZLM1*qSE25>71A8cn z;B|4b{o$^Yi{N$ANdkz1*C_|iB3e30(vqKFk~kNT2H>3k)o7d~5uzM8i*V^Av3Fb% zyl&n0AISj+`0og@K~m06+iN@qoEyi^Nb_wZ?>d{~97SbC+CBtWt< ztB59v&2COf)AJP!TNPvF-iMaFV1|EPt8c-qvqMVRlEoLZ$O;MMRku{HAoRf5Z(scuMsq#6zaw zb<#;vhlo}Yope?t&5QZFM3XSS{(*-~!Rw@xBtnz}XM)2@I!V&Q>m)+J3JC0Pp@8oy{lO81*;->oggHM z5aqyGgi9w$nh*6$qI(`WXhRj1PU+-uM4m=vWo8jh61)-78?+`kLwl~^b!gudyiVyP ziHI_*9FCPilJshD5)}(M(XrA=5)oxq5lxQNo-%kH8dL?ZL$j;kbxJ2m0kOK5S;4W= zNs`)AuL#;x4PP{i2*0^9ND?8@OjdBLbduP-*c6*ebCO6e1pF$U91(GEp2`)?;8<{y zq$jYoD8hV~(>3;N@ z;I>onI^4CIa8^1=0>U4~!B%iAG<2Ui#~Ofh;6_#Ox<~WWHG*ShkR+`E`02tUr{Hz6 zf@7tVBz4aFb=@`6Rp$g&(#cU5yiQp#i(L)rBuT>zC((-(&eUmfbm)TEt}+f~SggEy63Ew*y`z4g?!IreWc{2b@2xtU#hBSbkU3ipf< zEu9zf86&tYY)aF&23WY#N5Pmu4Z-Uoq`@o&uTy$mvHWGiNj3(r<8}jX+m_ylU``5N z7va)blQfmqibjR=V*DI~(`x+QL^?_85I$p;tbFn<$2T{F*GVTygeV8jYH*GQYQgIUuaGKu9VTEEl@1fT z`kvI*eG{|<(e#NO8UK-sT5PQ8NH zVS-&>MJk;n5m9CZze*>E^`C0N>p1Vp;B}l*WP~H>1*8aGryS5nv~(8BE@ItsoPyVJ zdNKvC^t{yCs1ca}Ysd1JS{0dEuu%k5hU8tQe z@?%0@5>Bz?xW>tjO(jN zJk^LdN0NZ3lv%+q(~IDBX=2Q4f`L&jcpbVLbgXoeL z{_qsM4x=1JO2ha?flZW7NI>}LXRsAWgf5CzxAvpxbM_vs1+U|Dl7iP+O$vx|;0&)} z!KITV?)u|wA%oX(dXf>kq_ZMG@3R_ zNxor0N^c4~+jU7|#{sQ{f;41VM3h;qp;EE1eaIh`h`! z!bx(PZ9JY6w$Ceg9o`1|Dp-9Rl0-zA75rNI{}sGW5SaNuAqB5fI!R*ix-zSXW<^pn z9Z%Gr!eLIzL{l4_+6|B3wF2 zY{XxDIUoc`V((8nD-sdP@%gU^mrfF!(Z^oxGjVgNCrD@5Y^|{|b*4u|96?94bmPGK zN8Fi(*NlSKaYF^aCzVc;h)@m+9MRHQk+f06FNqNFmB-PZbdp4fa^Nh&rIRGRNBvQZ zW5QSz>Eu|Hhi{&g1!oa1og`uNOs2jKoW-86(i;%I+eX3bU{wUK6P)ErlQ7dKtn>g$!RwTRI!3f~);$fz zy&~$`z!ydwMesTukfaWh%;2mJ9y(J+Aa0T*1bk`Y1!(EyNTVOFe<;gI0$jynP2#>5 zJf!-{7OjGU*GVTyL?{QoB0ARrNm3KB3C}y42u00_R??o9&Cl82+d-};B~PxhBYOCo?z}oFs82hjZT?{lvkD(n%5#WmfR3^qeGd-HUVmB;f=* z$2CePNko)c!LQQE5f^f>U{eII<9s!P*GVTygeV8j3b@^9(n%5{(Wz^LoH$jZbZ}Vx zBc#kM!by^5di=Vh*P~z;Kr1Yr(piy!@NdcrtGonG5}Rzt7mH4UUJu6X$cc`XPLhDA zlvzbIIqHJfpoh1sj<*U5UZ*Ca5uzN> zN4RtrE36h(@HA*r54WHu8GE>s%rxNMoAXMojvC)qZzHdn5B3YsELbD<^0%+x!!GhOeEnmUwutPy%>6A{A z8pKBYC01~(bduPF{*<%^;QPhU>>>vXmWoREU>@3I3pZJV_=d5g>^5AtCC~JlTV`IIBrIS1jt^H-K927XBrL!W|?ZEa$Z&SFmor2e4?Nh<)q?05< zlmlmkGkBeJlEmF#5rMlybE8U6>mLzPW(LPfCrR9Lf*V!Sw0e)C@JeSzBBEwx!RurO z$I2i{T1@xTg_}rCFqhmpYpQgTL`0bt{3_i!a?I=&AJoMxbTu$9497|*Nko)c!Lia= zk+fjX>+Z|?oNvB&V~+KHzTeRuss$;Hkoe}86&x#_B&i8@5;Q%Uo=3ZWhP;`};6I(>3lO!OrGAsC1 zIytbmWJTlp2R9FJnUFgH7I{-Cog@i@x@XFPvxt^X5?g|9O^eo**f`_y!vePNe^&-c z0>lH5(9GsUQkxIQf|De*5MC_hz!&#TaJ^GHIRYZjlocJrOs+^0LeW+5G-yFNw(UwM zNkI5c7`*{x1;6K9YVE&X8CjP0!h3_tEkz7ohq+O$l1nE^L?{Q2BU(BuVx!9wUF3HMuC-?LrIRFXTUD{(3zNATWm7ML*F{J)i*V^AiCapsb5uii z+?onrC!H0Eh?K+muLze;lEK?5wIf1}HXQ5CK$3_kvw~lxr}dAxuLX^V`tz}ES2{@| zqRa{;N@qpl_QlXR=V_x9+j(V>Btq2eB1cwmtaOs3+tFvaaIqA;E{>6;lcVAL4+XD_ zaOotm5r5tHABsK~C-J3|BqEdpUpAzx1+R z2`lNW2)1e$!RrKpSzPmwP7**AyiPfA7SYm4lA=na%}MMp!WVjfMew?G;P3h(c7;Qf zLuWO3>{QloF^i8}Y!#%lBI#YypW|hsERaOFbdsmKd+Vqc0?trhg{6~TceWg%9QX?8 zyf`39YU}(e>dgRWD58SbNhe7iB3k)30oVFDl_zqf+tG`atK$r3xCJ$>CY>Y^q8vDj zXz3(LQx{Gm1boG}f$9HO@VaOg;Ysg(-D87;*A07iecRY$CbW@$z5V4kA4+FM(!{Qx z-z;miifHN~5}n;DKrncn`OVvEVdWt})N6Gv{%mSzG~v8w$q=S5T~GfIgz7vsh^ni^1!b&)nRGFCpRV{HD!q2tWce=_C;Z zkGpbU717d3lE!2>Tb1Q=@AoqVU$Y;(&(EA$OY-->e5Xwx zf_1*;J8k&B41SePj)=(1%-~q*B(bhd5xnj<_Ybt;(|zRNJScdb^hShoKp)Yj=kAK- zifcbT7xcF&c-`@j_qV<7ACtW%(n%5#%7L$lmR?u)eq2OUu?Sv=Q>5T^$S4n9S7wEx zD4oSR(WdJbS)db;Z2Z;cHhhtToSuQrZTKR`i%4A`Z$(Hni*V_z$!)VQ-LyU}@t?b> zw@rJ_KY#bP+qBVJ>5T|k`QoL_P)232CTSOt-(0WX{H-=^I*%=)(n%5#WmfR3bkhe1 zuevt zrbof+q?05flmlNt7s2b~iX^EC_7kl3+-qXZv4Yp3L4{6|h)@oEMYMEs_zkS!b-3*m zybiacg4ZcMKvM9!GAk5C=`2>dQ~Y_y?%bqI7Z;h}>QcWo8jhlC)s&HFAB+i3h-u6Hnz9&M?;lmy*Ix0#hNko)cMKn3;g4YRxtkpXoEP6mn zCrO++FSCkhlI*bHbuUf9>pndD8$~mZ3s(fMQwB*Q#LZIhI$6Q7(n%77*Wn(jeUn)m zRVkeugM!yJn$a=Si<)e*n&1x3Vm~SNfRtWTq(GEe`QoKANRnO+PJ%asVnpBtqOSy% zPLhZyvw~lxlOydQHx9f1W0rb?aIAEa)FAdN9jUt+t>9ScBuU@bctvj7*H^SDu`72| zo-#-hA$4XYaFSRv)SnuzERG^Y2){}vM?~DTFK4-;85|2v5__^$Mt&eccSgbMq_ZLs zp&VbliE!y8@$Gdfc%2|{hJKEM*GVTyL?{QoB3in0)CaFq#~IGzxK%nyY`U%pUZ)&5 zt3!v5y1(A>l=P$F!mX{~b#w2?H?}DNi85IC^q2rr!2>kd3Pn*miaG)xIXJuI!OYeQf39e zN+(AeT{3uG%+fFej+IW51o2B!W(CJeCrN6wy&`d3gr>a+UZ)I_1W2W3)>=2LQaVZO zWsP^7li*dR;B|56rgU-yL|!Q~gJY$WBuy(gNu2kLj+IW5fT)yN!SCxg=e6?I2d~pu z24;9-6}(P5itA1^5f^bswc#Y$6FUJ+|;av!_F8J=W??2%5A2vH84p|Mh^8tEiS zErgQ@;T&l;6;^01j`?|M@H%F_m-AGqSc8jLAG{840L7ZYt4Q5$>8wbaAMvx5au~cW z!lkn&=^Ym#9GIjjTUg;8r|H|`GXO^@2Uf8t(o^ud^!o7;DPBJc&4X8tqUlIyO(H@$ zAc<({tcW36^;UdK%RPGA<^yp^DZLS*9MA`Np|ef+1~xe`-+(@=_CO}!RwR*XAv!(Bx%Xd&sLlZNCR-r|7tW& zk_b@_oJF{FlGr=02wwNT?|-eBL5)LprISO59JflD85}E}Bx&T=YJdtxej1oye5l}c zN+(G`WMx+7H}~D->SotcZ=5YQgKe z*M8lHRmh5^S2{@oBrCIuXp-3M=9Dx&U%{|d!Rx-+v(BgL;n&jhVy-^R0wfz`)&M6- z-FEjKuTvJBMYwd5q-jJyTS9;Y?VfrB(ve2-_OggO+`3AX`hflv-eB3e4h z)2r7jqGG`ptcu`uf{-LalmllGE}bN4KGaXJ?s?>(4OQ?urIW)Ec^Z|KnMF8BY-yqh zUWfKv!RyezDR`aINfHrdRyiCigCyzI;5AXPkP{s%og@)aW);!oNbM;<-a><_;B{zr z6}(RABq<>F{wcG9W2KWMwWnSYw5JMQr&&b!&6Pot2#IF0f@7tV#KucS@VZDZ1pF$U z91-!&Je4b&!Li^ZN%P=dlQ{1gXEc>gl87j?f?sd{YZImE!SbGpdTDTm`(MH9q?05f zlmo{REu9rfbM4OIy-fwLi@iVTB#98^z?nL$u#!%a^k!(a8zoaG4OX%DC%q(iowB?p zsVC_0&?~GDUKhI;xc?QrPU);jdKA4_g%yI=$qaK?pjSaE1e|i4(16iv4Ufvp=Vi|&C}{krZ7UZ>6ptfZ5pE_j`?U>3U?(n*qr8BU@XDV(X(;^@!?soz-` ztsJf3b#g_LG+^>hgx+x=!Tqn`b<$arh)|9fCA~+zh~b^8o^}de7vBK5Z8XcJbdsd5 zw%5JP3WQ2$MdDsLOwZJU*P*wWg4Zb{k%V89D7 zqDvFbb2kr0e|}Sr{aXw_$N6douan*gQ4Wg2JtIU*XWe5YI?R;n zTLUay>DysX&r?te|8T8{ch_K+g4Zd%u2}vu;UpV_*KxZ6gV#xKL@*}>uZwW$tVxwPhq+LLM-Ery_ybcrW$3OlluZhx0 z5)oxq@T+ukSpO-;ctsgJ!C~FYm&){2WNdczV38yse5Fi{v#j7(XmX?txTr|(yHFLp4o#wB z$#IRJAGOp6uPd{HW2KWMEJLPRys+-YEjx1Fw-_R;1q9ZYa_eH&o58W*Bnc}y86Hx_mBTZxuOgLBl7R5FpTSn}OLS@QIt+|N z!Y&AJE~S$sO}_cK#;WF<|t$*b9)(ibRBR%$Mzd_kF8`OD9Qc zhKgkwy=Kt#=+kcLB#8*+z!w@Zp{0|fE_fYAIf|5q@r%OJDV>lQyspd&MNxWDth%)y zMW3_xXl_$!Yzmrmz1xf7vNoPeOLODMFCBngF%(Arc`b>;|)Dxt$I5w^g zx$6-cO{2gOE#1-w2d~3xM#1a2p@PBdq?3H`Uam8)rBy^r=h;ddHT=3qUwItuNhe8! zC|*s2I#rwo=W z&D+%VZIDyn&0^n%Bmq$=vx;bP`1w`vI-FpAR*#daFX5#JND5x39MDI!bQUWO#=Roy z+Q1h^97XUt9gw6BlFZ<&4jwvF#aMmSN;k^on+Sd+m!Vf2+P zS_K8KlTMO|P!4?IaThw*0ZCF5;r%)^5sI1Co?z}oFs82hjZT?PQ<~8(n%5#WmfR3^qeGd-HUVmB;f=*M?Xp@Nko)c z!LQQE5f^f>VB>FFtbcI6nr|=ZB#98^z*zw|v~-fhNObDjASX`MC>%RXRE9g4dy2 zso-^S@qD8rIW-)KSKDvA&rYq(Zud6dlR&cCzlGre#H4RLBznCHsp$$DgP19mYN`sUv z3yAE_yu=KS1t&?`*W$fk4aRv^u$M)vMbw!d5&V{rRuS!bEqGlTDEniAfwE>eFgDg} zSUO1}LOCdKL`!Ey2CtAle`*R|hqX@yuai!a2vH84abcao>!gz;?*57h+#Q-5ReDMA zx-v63Rys-ImJ{5lnx@rz6opqhD-sbkD_j4N85}EvBxy0-+j-nXYJ$1s&fs-QCrLz< zS;4Q;oufW@UCcsPL&58mPLjc&;0?BdW1(4*xa9=B9yRmd?8&j-&-c4BND?9O%`YoB zRys*i6YOUTO^>GMW9LNaBHt>`?)hZGl1Vd<1kl7Pq>ty1th zWsoFiGtV33XTOQNopaySjvGf?wjCxr*v`zMD9Cuj`=ywB3wF2 zLMXZlo(3%_$F^PRBnb%L38OcFtl;|*7`oP2CVrIVx%;+v2dybjk|Gy2j=61T0YSn!3(T#d4+mj2ECN?ivG8U{(*#B0TB6&z^L2^{_lmy879=asKr7 zvB%7^0SPu-PH)e$S#2OxIx7+pWmXYQJxuIcs{+5Db`JBKxBubbjZUJBI+A{~w;=D+ z8?4}1=_E;8_5YgID}KD943^7=)%{ptv$|Vc-gDY-+E;NBIG%gQrwS72jfiL! z(b8G0w1~yvb!V?Rx4rq^h3@RM>(6cPJ@=DNBAq0HpjgU*vxt^XlC(+1&(=TwdRF@z z?tiy)Jox#u+B;u-7dUc@s?Cf>NH8m`P!y%JSZOoj6cp>@?>e{rh>;9(f+9};#s`Xu zKyO6k(JaEHvsiIi=HdI!Y2W;rOWoPf=gw(=-9KhKiFA@ggmU03qD{};6-m;LN5Af< zC6^f#C7AyV?#52#I+ioAPeB4Recc0sSYU?MQM0z7c zIiLqzXz46gnzHhWTrv9X?Hhl^iY&VA?Ztzf()sajmPJU3nHN#%ESCNB34VvvZ?1bc zzN7v1&$8iq^t^Ypzjz%RE~T?r5g{vIyp$OnD}y9y7m$pOAa?DnTG?(j>ZbdsdGU@umg6-boMilkp9sY4(qKj+f3 z+rNC(g-#*}Ng|}o3UH;9#9nd5dh$b$oZH?t^n=B{_49$b#k~bRA!6`4+*~q?aOo^o zYKHuI*TV~EXnJ1kxWY-KlO!UP17AQ}@H#dNazzpwULt;|pDwlMaE3@ z9`{qv1vj+${jUs?1c=4mrx|i&1;Imo%8;^wub~H7ryG;_A{=%)@y>s^~V|85$TNx<$yk-a~-f;>1Ekbd2fh*+uH5?t7{Y?SynTPaOp+ei@0*P+~4M}UXVO>ZJWP(VI`g9X;#4> zUeC$X;}_x5Ns=PTx7ua5-&lW3`wR0f@=J2|8E+}NTuLWNK-h0_Hd?{2(yjjJ>!$Ti zC&6n*yVZZIg{Gj?|R{?HlMuy)`e|F_e(lSB1AcG zR=}-T(n%60dZxU)z5VVtRlM+1?rJakktw6(n%5#WmX_jIxAxBX%X&@J;D46e@tQ*Q+gvrIiOcZ5?0b#tn~Be{_4fJ zXyvu@oFmR8Nca0c%OXTsa2DaxNs@X)e%&>^L{ZS4dGz}C`|~cH6^RJtc<`bKmrfFE zwfi+yDF~d!v9WZLM1*qSE25=4$0caF@XOi#cua6hptXWC+)!x6V5W2yD7wI_$_g-2y!fnGhcn`=EJcdB(dM@6U2V?i(`ps1;sYVO}3MG~Zs1ead4V)_t8WlApAtz|mhlYZPEw)io8uBiR zC6%bOMva;(TC8cMf|g%W))V@P zXuPqq?7EA*l(ZP`Dx{JY+iPNZ`?t+FpV10sT@@!WIsplbyp;H?X?+g~QJEfjDe?Kt zwm7k0VO`lx6nW`*v^sF*aOC=Go(>o@(|}Bt+SE$JvpW4m%mB@aID@19oW6({IAccF#W5 z93q58UP}Bh!5ASSDzhUmC2r-9QwKKy;l}#X z=7uHK)Vw#1yn2!uAz@va9eL@<$BmCxev4RHhDBaVG9@IeEbbgpk(UyWS>kiY-#k9O zaW3X9Gs`P_9i=2uI)kwAO-8wtc+8S1;Z7zT{oFc*b1}-LBQb2_^{L8zkO5=GL6?&B zQ*ij3$1k=M1WJOzilbag5~DK;mDx7_@k=HM2E^Zcww`45d&d_yzSt<2lEmnYLQzSS zt0R6WZ#ua5;by=L!QA=~NJ*k}Mxj`7luHSm-3#44-h07qXP8TzlCw`c!(8R8H_Dku z{}ZJ%3YO_o;yW+vNgM@I(sq@UgGBsf$VBH9MmBO9YN0AS7nFBB1XA)}`16_gZ;}+g zq;Uim+gYL_ue+5QAt5TWBQG7lIvY{s;a%j56>B}uDs%4^ zE1?9hEvsTf8HHlSQ7$EZ)@n9HoNZB(ck+>UM?j{8h<(iT$gA(Z1F)XNxf7MdIWtj_ zSF>6S>-8#bQCV~Zum4zt&5J*X)bPBAXSaFm~iBChOBp>}`Gb_raBQdJZC>Se_aw*|A zHxV3^4|1|S6L~4gjF4F4u}5NP5m5_2R+aOib}(SrHU`5kFq{(Hl*Mb=W`u-FGCT6> zh;L!-Rm9M)>|LZ1j?M5&L|#3~l#sA8z8Mj_5_u_s%W6ElT(MYFLK8kbX)3R@)|+Fj zp$x-h9Ynd5z^1)3Y+Cmq-6e^gIo!p@N4YwZ8Gd4+U$F>SrYrB^ZEg+Ov9Bp{cd;pn z{4gUVQeW79XbkoRbPl-zWiAyrpsZpyzr0swKzVs)WK?E*zW)4;PnbU2-K3# z&l{-9f=r0Ky5Yfilbi-9!R*vji@-dqHp+(>J?a=l@y4ONGJ)=5KHv8k5mVycZBr8Y zVMa)(KC>gQW_jS3&1dxM;ui(ddt zhNABo@lvKF%7+-{xgJEZDZxgbzF4=!YlMK^{_ zN9DGZDG9+aWfY1{iE=f|o!vILvLvP&)*I#0aZx3Yx=}{KSaFm~39T@?^7tzH@kjGW zu#K{gM7fk?h94ROiaEF7)Vbj4G5xX#BGhgvyn37?BP;02jtM49&Xg%>AouTr27kTN(43kv8 zcxHO!rNqa)StDUvuriKwY;mk7k(ZLp2np-T?8w{rw;!@v_)SCsVF35st6)8$qZ6^w zrXeSjp44syDTWnEoD;}c`3=1kg&3bj$TDxO7eHZ?l@Py z+M`%UqMSOaPA5}*Bh-Si;wYCA+~C%6Sj>oFi4hffbtE%FLez1Ue1)daeR9^`#vf@$ zg!>~6u0rNpCLO-VM!g28&DTsp+4Y|KX}tu-ZJ ztT^aW;?WSMgp)I3Cafo%+Yw7)>?oI#%ov3-UsMRB1p6o+{hN|ltts&U0aFs?Qj!^? zP?;?q9>V|~6^=m_>R>&|mT#0xNuhK)qfo3k%B5s50thGGro%lu){(!hbS`d!=EU5_ ze1`c^xS=AiX1U9##i06ZYD8Ym%8b+Qhc61-S+ylKB`a_LN?Mp5s!ZEEH6?HLuveS> z>L)v9sFaOgbHr{Pv6`wcz15UAKR0U6-92dr^MD3JMPAAW!;El{VyMiHyqcI}hE!Lj zPr38fBJxs_DIsBHxhavC5?^|)BY6Xon-Y2V#b!!~SXrh=UP?S5ZIa7RHeonuxk(`^ z%7+-ef`Tt9VC-)Q)OU~HGbNmE;L5T`!dU?)iKZmVr6e&dq-GGsdV_5`Djo&$;~Gz2AVh>^dgP_t_pqiUj5Jo3ZKlXeNsA#@yER4ZO4eUg zB_%luhcf|!M64&AdJu_X^vFv|W`u-wWp?DH1F|%Kc+J!e(YsE@zXR@ z66I2o7WFgxMG1eg%!ml*8)1BzXtl87$gA&}5fY*@ zJMwClJ7Mf@wftXf3r3E66vpS7BjU{Jz4gpYYlN0duPl_u&!6$eMLT1PDlJxSF=7;AR{~;KnbR1?+E>LVr zl&e{O^lwV?bd$I2Q7#?9sN(HKjIb#Q7%L9Clz41~De-s;dn7RpvEnF~lEkp+w%8*D z3iVd4S*(c?F(rPAWJ-dAAXo^N-~A(8V{RT zag<9*W{g5nVU(*QK2oH^{XrE)ys2?c!J!hPghOc@1Z#ZfLL9thcL7A2|TR;QX^%T}{^AFJq9rb~&3bQ&eArEVZ(RMO+~jT2H1u9&Q%+@E`4ScLH)iuDFtI{X9Z zJL!MIzjDl-r=G}5NkaiXB&w{iqb8NZNNau88e6w)##V75C7BWuR_3ufcC#ZdB|cKj zBH&2jUXgWWROF>2Grmyi2sY!*@9Ej629=-1_;S#UV0<%S%7?$1ri7LG zmkNf8yp-^Cl;$=^R&H=cMc&UpG9^T;EYl+|CI02RDap$Wt)Vcrqg;0@Ga3|QaRbJR zLm(v{i*8Et#2Nb~RvhJ0k{P2=tT)P;$NL9&62sR`N#1;M>WS05D3_AV7=>cRQLc{o z>}Wc& zAz@{i9(gHoWRE`6h_tQgou@8dgP_VXD;h|ETpWAvjt-Fnkk8SDQPh> zO2L4JT6nQvNQqBFQsNX=mTzk0r6e;#!n&easfjgyg>FWD_Gh~*>xVwuJ>r-dXmhXs zqARb*w&5(x<41b&izO@Sx8B-4#>EeSL$i4Pldi`K z_Qxi6Xcn(~WGfc6B_?)g7XN79R)h(>#KaEG;tT#}E57PG!^95F;&~t1ik;D76FW4E z&w0aE>}gInu|u=?xEF233UZo>9h${APu+@}cB+XTn#E7Ozs6o>k%=9e#W($RjV;Nz zi5;57y(?-2lPol`L$mlhFRfwvpJHN%X7P_^)NtoW?9eR!<`1^u2<2pBJ2Z=ba?=*9 zHxfHEiB5s4j|#rPR~3~h-WTEF!x-5K>KZ);wKjOp|~0sIVB zF{6IVKLI0dr}rV2TOaPc=Q^q+X8LTuFhK_G_{eo^wm^O{1bW(v>)3UH{9*|7>}OoZ z+b57;41s3Mk+&$$>FLcTE^>e@2edctoTo+#TA}e%2eZlSB+0(gZ9Y21G z2^=H=SF4ZxYM}`nBmozyDK{-LfrBLA5_S8Tr}RK&z(EplS$h5zFE)XL zOz>nbNMG-~!~_D>=ilC)Go35Z!nZH6a>uA9u0d13`%)7)NCK`t-#X!CCUB4hTzAIb zxYPs=l7K7DQJY?F0tZRJwPwmqXPUr45^$B7yZsd=aF7ICU(S2~G7~sR0!N)bVv8ehb^x^{@LyvEg`~m7QGj9CVzRQ*XOE%N?DPX zkkF7pTs6=nf&9YQ8N^is9TLbdhCp03a61I@iy;tK4cyH7NxSd{XoA<9#CaU=js$*T zY|hy2}+jyEtr1nK14IAb=a z>UbM7#<_Y1oT}r^%pfNV#Hl*o(hPF4K%A=Mjm;n@3&g29-rfvyvOt`w<4w*WCkw=> zI^OCGa0$TAeN!aV5MxGtSHC;`X<9XS42uP)1-ia|sNema*;+mL(M%iQ zM5|@CR+96EKu#7&s|tN!?V6k{kX9ImJhwqk7D%fNgLK*;ClfT|SX-Sg9KtwP6=@NA z!w^WT)`bFTMY?DR!x7*1AY1O)92;^jew1V*ftX-3n1=4E9 zKl|JUIawgBY;PU{IawgBa&H*|IawgBc&mp%P8LY3-&==3P8LWj;blW0Ckv!iaTw%e zfwV%tZKx(E3#8R@802Juv~muEoGg%5(P5C2xp6z@n9GM+<1|@@)jxE{rfX>yQcLaI zlGIDA9xba`>Ln)CdYYwPVp1)nS?VPw)vR<`((5HA)!dY&zNIp0UZkFGJ1rI4BBhB- z>+spEe)?>`7y@ZcK1U$G7y@a1K2IRO7y@anew{#mF$B`OeZD|`F$B^Y{-*-@#Sln~ z`-KE)8-Cd3^}pWJeb#JE{Qd%@+mY_)^x0ZM{8dUICrh;!BY!Cq$jJg}S@YLBft)Om z7D%5c63EE{X{q%oCxM(SkQQy9yb{RC0%`g8X)uADERYNdpHLIX$pXnF@u@k1oGg%R z8=vG8$jQxC`mvw+YLPI`WkoY;zL}4Yk$v>N-QTYN{@(6Uv$+!f$0;v3)u!3J8k#Eh-Tw22KwL&a7Kkh1%A=k;1ah)KTnQgt@dra7Ckw=-aLMPN zJp^*HKypFO9|Ad9ATEVpz3PM^kdp=CQuy5eoi_w>vOru4@A|;+4S}325SPMV9Dn=} z$jJh6DLmm@bB91q7Klq>f6Ft6Ku#8jOX06BJ#Gl(WP!L8KDy!=Lm(#$#HH~2=gb)b zIawesg`axI>>-eo1>#cphqoL%1ah)KTnf*9^yxz&Ckw=-@SCq2202+EE``tg(X64G zoGcKR!bh(h202+EE`?Vw7zR06ATEe=rw)UhY@qrF-{>BB%rM5et?iTfPzB}MiK?^M zi>z~8Jkh)?_97E=^+Xr5*o#cedqc0MZxHhI6uUzFzcb#Py)eDh1?Zfw&%&`Kf@MED#rjGSd~1 zlLeA*HRj9$a49GJ7nJLSXv6kxvGc; zR#~J9h&C10C4p$FmF2F0oNUAMDH?8V=*HWh_^s|s4>Om>L_fTnS~abj`Yqos9uGUN zU^NZ2z5!y}0ken<-wo@;<1VYo2Q_xT{5KNSu!bEohQ})$fV^eE1Ew>}` zU{m=dQ~TGnkoEF>#_L7?w{TH^>^t3Ks#2z&1=f4ndFT_JQy5Ex8hhFw@CBbRS6nk$ zrkSpj8J#@Ijb!scyVE)H=FTa%=<6Ay$gM{SkD=rT)b>LT#WrI!Dzlm2hE9C{%lgKw z43VmbdlUXFz?wYDTa+T1=ofk~jsd=x27xQ^}&U?p-+h zmlxXrE(_a4U#nOpW2OkGBvCqfu`8&clT9UO{@2Ch_b$74VF&;6JnS=O(~)NC4Mt^@ z49oPAr;_*Yde!);|9Z4N_q?{0B?N!7FE1x8Mv+YP3mfCVT%_AI$)2$9@-^Z+tK?EF z<>_u2V>BwWsl%gh_}HtC_SZU1Dn9Rte#uiwqI8O6qMz9%PbFve`*$AWKfWzj2j`B7 zzPuuuM^0Z*l~alqrPqc9D$}WC4SpN>gZjL?S4^^rezw0#IXjXV9iXblz%8&$_nw@B zp4bR36Y4}in~0^HO3?RUbVj3sU1>INLbpvxG0P_U+4?HwRFc0W7>&woc4X7C$Bkcm zwu1cK#MAGW=89c_XGJB6(ix4K=$CRTIqKW*9RJ{JUvKYKwo%-iurW~boc~*tBAV!D zddX9XPeTJQZ_lP7Sx%ag8Y`;Ba1)75sce3WX(7)8%@oW>(kZicukZGw^`zw45udb; zQ6%lvpwz^UR6ZUjsf43e$A1%j4#zn0E9F#@8KY5|O(pqD0*(|Nm)L`=nq!e7pps1C z^Nqukg3@zWIhB0;&7WI%Bfb*t;9r@BFxTmP&c;(Irw%fzpp1rPI+gtQYt9`%<5l`o zU-HuEz?qY)PPjA$H>X`KMn*ZCF{ffi7pGP~qm$z+&Z#a{(UF=y~WM{_YqDUt}Lqq|GS zRI?Xg-zQ_~EpDTUe)cn!JauG7RYbjhrk8vVlx6r+AUEkV)K2lHUx zmG4@uU0Sj1?JW+A2|FbZQ@fN?iLY_S$li;B%53UDG(G>$jEyb2+5EK#oiZ`XVxq6ZB-xRk*Zkk6WLTz`Je43Qm45=pdcubl zZ-x%Y^jelYJCYe8!T8s64|=JJN-)n)hJjl8uE7=IDI7t{Q%PnNNiW^s*1hHjO^504 z3r%+LPlrtm=D?2Z!{{i*({i}yI}>J4xLpo`~v~)x#A+Al0@l^M!^bh zVo#{V-x5>8F|V`pi9X+qIBYNF)UmA6i$zLrG%VAp1he+;n6!1Erk>=+mvSmejNTxs zQXC9I*qdM(D#3>I&e)Ka?WJC(CgvnE^9XtPE&cYM?gQi}w(zJMn zN3)c(Dx>%(P{m&CB}*`~sl->s@eb~9@%qUir<1ng_%8w~NfcX1!AddS zA6|IWx8H6`ish@dGn~AooH`l?^mj_dy<|9NI+b8>jr$bbE8aftVapb_lv7D!wD!pb zh4EkLRDu_4;Q{}ctL*&nmA%}Ycx8INadanmW^_iQGMhT`$8z7RlB+G7m?h7iWJ-~= zP0VCef{{OPC?;*s_DgcMY43y&TK#yaMRB*9O%a)1^6bfTuqip^@AP+W3Zv~4$-a^{ z!z7g*VW}_^W6= z;ftlnC^=pt0IHNzNoHghML}gYb!=LO4|=d8H6>-6p*J={u}V3W_=a?#Sv}i?#%3fd zZ00&+aH@+H$97efM_U#FmH6~%l)U*WDESCtvu2hccl^fSnxU9XxoKm;D&^E6A3-Hb zcCHp!rt^0Bi)AKP*=Dr2FJ&u3C7DqqY5P)PoR_Mo#9u7kF1&IP6v)?7v448WnmE7~ zBcu3Q2D{{`!+iwkNYzR1Bv@$*w6uAz2!*u?WW@FsQ70p$sev32JB|VY?=<6 z|04jpP06rKFL^3~d;U&$&v(iWfD)P=I*Tji>_}#y|D#};&OGM%$(ZLoD!^J__H^wH zTAPtknQi&1S$^Dbwt2p6%495u8-*r#xK|oe+ZaXCOFO^zF3=w0WcY>@lu$9GVWOX7 zAWEKn$8&EeMWWr0j=!|~X^z4;9J%`$@8F*w`?4jEQ~@cPM#wBr}Qx{;y0gc`AWBsrg&;C9pyJxH$bR&7zXbD3V@3vr8U2Dozp2 zpYrse47*)<177k}k{LzP>t}Y!vm^c!QJGC8a8>Sh z_k5=WlT7qW%m~JR5l~5{6j(-OI+ehFn&c1uckqv6J5I;)cDCdP7?rt`!Wzr;l4rAg zIGPd;M}6s{AsEg^j_hzkwi!jDwPUFrD8cA zW6w~^sRWY>=M*w}+1XN1nO(8(KITmc$GrUh6ZU4r=Rl>LN)n^T_h%TD+0+4(b~k(k zrlT-${*iq(ML;Eq(i@G^`yK|h`A2r2pug?ZDF=9ZVdF5Plv76qmr782xsL^w>FkN` zWyU+W!Q9IzHc1H4BR)Ht5>7qwmK2MiN6RS2{~%=mHiFJa;(l;bQp^syr6W$Ylv77$&`zp`VVO=P zJ`I_YVs>bLi|Eu+P9>RDsh|EdQuKqCi-Qu?zN6+T8!RkRA#eTM^=h_ z8}H!mY0u8jZ^H57-}KmCUZ3SOk#A&ptlS_fv#G>){MHfa;EyxtIHHOlfhYp@JyGm5 zV;B_&i>j)LmGj8A^6`y%dF=;Nbe`P&c#5LysxK>8F2i()yaH`RQ}l}o)?QP>Sh-W! zcUgRAOJZlp!LVOt8NtwbvQWXUcq8IMBX}^pZv2h<{6s!beq|EAQUBs3JgD|aGD_Z5 zu}hwhr17~zzsGjy_fH7ZkDbKH#_3SVN77Kh$S5j|Ur#A{D#=j+iY$4#)g_9=5X@T6arX;XM3n;(?1zZp`!gs8_Q& z^K4eOpNd4*(3G3RE;V8Px4ld&hHuJyIH87vU6ZS<;FxH!`w51}Obwzko6SlAc|G~s zrDI2BzIxG%fVV4AEQar0_Y9)K)K*nZ%oGQ3Plp!#OfSw=^fg{7r;cwtP#rza-^2o? zqjQ--Sf;ZlSQT3_eCNHN9}Gq?mc9$r`3K9XBrz<6Z|?Sls)p!PGI)A<{J6gImZ5%* zDJ*#^$&4Zi_q^D0&ofUQ+A~z;^zy>1SaC!^X<^Y0fO#tMZH6sBMG{*Jv9t1aKweoH zbTT;gyZ9K9{$HJ0_?L-8F?@vujaSgaGq#uC9D$VEm7UMIb?} z@4kmMCECOCC$}^@;`g;mIqz0xXg{o9;VLMwE89R*z@GSty(!6ST%qVBGky-N%@~b} zjk%g@#yNu(Ovmh>RrdQ&roP-d>`v{QDNV<1%4n3{cl}xyJK`^|Dd9d@zr-cOENm$& zuly&v+7!+NEtsJ)ol5dPnafZ7KpU4F{Tf>wTEE1`K${^{MNv2jmKdy5MJ4Wtu%5`R zpdX3LsFLpuFp4DH6=F9v>HNdvR!xbH-_Nk7WP7IMsU$OsMDJ4k)Lf~F9r2J|+cPLu z;uX*V|8KTuN}ftGrAV;+SkMOPy_BbtK}SSbyPOsDyMPhuu-w>q|1%}SibWg&6=#7$ zCGKz@@8F*t%QP`#8z-Ywn>87$ZAOvw5SUdlGE4MQ75k26wa)xBJGO1*VmEu=8%B|Y z2UU}{JgAx}Z3|m1gae8_u?Q%wahiIx3@f%N*1pC8Wqr?!vYb&`2}?Pf1+#;3!KOp! z=dvzjlvc7-ihzAj6b~gHM9Jg|Teq1ZOoZ?A<5gf*1h zh~{{lauXNphyc-t^m!3)reM2UoE?waG>C%#w+MKM2r#JAeqgkl|3usU%S>%t~fQ&w{b`zIu>NB{^nFXX*NV7oDHWUOawV z#UECZA=##6Sf-aemG}%Xu+7k{5awoKup^lPNrj^VS*2x{qABV2Gqta2jB`^`n$`0! zWMt12+lETqoHs_1$m);os-`LoXAcM;ux(IT-helGD#?tDDwa{(mTJ}#8;)EVzTUwf z^yMU~&=CGuf|iNrU*SKT5X+BIGRo=3F8K*G%R^4A?}ZUjCfxbuU7&scktt<+*=|ay zib`_yS2o}BZm;Bdw=$zh;Qz|>lBbgV4MZ`ZWNOE6mX>lV$qZWh!>G)rj>@g`$qxP% zO2jPcmrqMMm1G7j{rG*M-#=Q z)gCE+2(^?`2N})zN3qg$nxUUo&2%b(UyNVFT2G3RMhW9+WL}qYD#?ryD31S3XWsWR zyF2(-ECRjn`t{)U#=OnQ+w{yXdFt>0=kbb46t5iHNcKd|#!}9n1ceOsWDpe{VL9`# zg82#FIPp49la5qx<=^8`KqZ-yU6=(c%I>`^tJe!3bKobR2Bt3Vwi(P7B z-*YsGoqxpQS)4FfR4uAsPPsN^G%BL!!%)c|*eEJM)2<=`xU4Bi3rk##|4hlSOfPx% z#Emq26^ma?HdFknaw#8V(6S;5AbN|qE;OlRN|D5YGIzZ&BrOnAJK$!oXlFm%`Dxc1 zC*#`b>inB|Hp_RH`hzw_6k(*=>6WT^y9Q4$wabq~Yn`5OZ>zHqD#?r@iKt3?81Vgv zq7>zBFtcMfzW=aSQDJm6P9k3dYp9?yo6Ty)4OPwW&qt)6oMbxx zUIgV-k|-896b`q7%4{leAAxnG^j+Ulh4+jLRuzX$Z3;yJ%k+|GvwSfzC0rR|4lR9` zb3cwOS`7Q9><@MRkzugwEUr;EzFDnJ3E%5*vT;q1dA^jhS-!rLVR1vlD4jF-{LkCv zW``-E*&*j!9H7gtE9F#@7(E@o527-gI(&9C9Swv2FP-a)-U#fuCyE6p#qa$WRAy6& z&vmAx)EnUj(oxFe{DVP~cDwS8EU-+clEFwAx##u1hZntgdIlLqf=HOkqVTkb)$u#? zJt*-pKia$Ljd$wK@`jnQi?=DIYBQ~v01PPT0!S=YLKnJ zlBW(aD#fW6QM)Nwc{A5pPs;M67gly;&m$t@?8j$EWAL>eLUH^T0o%pj!@)=6wwRO? zdpRD%omy6gK}M0_t~5~rKs9-kr)ZF>)8Vj-Z559U!?r1TDj5tQ2~=j6JeBw(ne5;n z?{B!|c~8SdeL>Y+P)Vj_Sf-aemAKt(25MQX6|x(D?uF)v^3;(TcbtIu_kd-3$y3Q- z@Nw9*+;YUBL&;M~W)w+;=<^JK!S{&0ul#S$G`5Z1F2!tdxz!sRevDGiW|;w{kGCw` zW*C*(Y?g1zOb1`~62(4Hj#aUs@}f#5K0}xi&IDSl6?7YasHQ3B z`FD$AF}p)B+=r~NIZW5!YF0vR#A3P4D`bmdUqK7S?>|P;*%P+}&6UOx5iZGmfL`*0 zj3Q}vd-gy}P3U?RFP14OC-xDmt<|8c3{>K;qA`jDPFMR80y#8G9!g?3j(6~{?2|bJ zG5*8ZSgdm@@eyp4B8i|hZNN)aRN|or_A2JNBiqU)PaR-X&95;Ok$R#D2Ai@pB{fU0 zDJgcd?pFL|sWJ^wi7(d1C=wmZY`db8MP906Pu#6wgR8u~Fq)cKOfH6)(b|lR%IuP- z4&PzD*4mY4D4Q01Rw#M)#3{xo5`B^o^INH@SO5Em$1bXu+%Pt^{_#7<&Z<|xb8Kb3 z>VrsTy?Ja;ef}lLP5C!S?|2KOTQA1tysMFH{R6 zLfOvi0hzK6kj-zy*9d z4aOI*1!KXxa5;}R=iCpWxwr97u3@LAT!&UYb~T!@j=j8w_x}8KNY=BLE2cxbZ7rlL zuE6EPtYFQ(Xn6PCD4WJdvHpf`_xAlC9Gi1kz31Je?1A{^cR}3cqn^or?fD_f)^9-B z;%jkvH|t-s303d80%eDIvrgjkzWK|*o%nW??f(>7e%ZUx^8HjYe=U;xb|Aq>LVcT& z9J~$5hU?Iq2PTlSLG;xvU|F{dp?K6M%cQ)0qt(KVkOJYWm9Z~P232<0=63? z%pbtC?I^qNW4Pqau2*~>m-DZ~r46{XpT*_&kK%IiEn{z}U-*@=vHG!3pv(r`T=rlN zdv617=|^$7=6YOS^9feKJAwg+H-Kd;wjk;824SpWyxfjtDLZowb9a9c$uf54eC9B? zR@S%jacpA+^ZyFT%?I!R53+A_*kE46>>9AAcHxo7vs7_n^D8NSif*8$OS{ zvf=jF?I^QJ;NibRZY#Cf_*%3Lms6;6IXk(J!(-ypNDflbf*&B+a1WBTUqZ6#+emhN zkGVUUT-}{oFWe2~)BYZpHs&s##O0DZa5-%slAZsAWc_!MZ2Jn5DPKd(zq20LL%w!*Nx3a2TKSHwOE+jU}wtpRMKI!YY+{*^-Wm{GgeByV| z>ABRlf{e@e;d0_z$eqk&$v2rC+kImFpWh#QO?@jb?Y+BgFX~&*1|9qjZs&3LV$`nv zJ}!IzOvnQ$d(J&N3o~g_b;IJ_aiy<9V9D%h-4e@^J)mT@Csw8yX8lqKf*h|pZ9k0 z0WdLuy7hstL9pso&@l5~kUN}rU>R@C18m)r2hoUM_tA)@Uj^fE-kL+l0le(X0QVZM*J-D37KJJ_a>4tyg^~BaB}X8c#r9mz%llaA`3I3Khu-TR!+%>*Jn{3fOX{jO zc0|4FF=#-aY*g4V0Q5g_d58_ebH@ts??~|6(PHNIK7_;`{@!2UF5=-|R6p%YV@K8Z z9mM7KpFn!zQP8n>3KAR1*BstmQJ?&HT&_ad7S_4`EIf}{N1~j=pq};+sLR;TrMwmU zeud=ZpCg%hJaD)D52TN=jeAbO<<28OJMjN-xth5Byk(33FA^I98-4@uj0bReGs`Z; zeXs9-1c{A^t&anDEiW%uf3@!Ka8qYvAZ)@K<}-O z2X`~Ob~w8>je5Im!J_{`vW<`QVLs9|Y#N5B4VbC*FMo#mFzWE=d0+7c!ti-e<7aAp z+E0<2^BV}(JsaG+pNeGL?<1M{#O|KD{{-Z0#(Cw`E@r>q!{z?jNTwm#aso1!&P4Ly z7?Q;l-u4tE{bwS<_}No0U`;2_L9*%@-An3kJgIwLeds6%cFji_#!9zdI}g3u@GO+w ze>}>zO+zx{50ET<4w8NIkR14JBnv25_PaCWe)1*@L~>Gt2jB}Ns-A!m~l$GYceRCOu6 z|A`QE*_JhInvJUY&xYUtFQ+^g$qM#pExTZ2Yu9riwXyXGar2%=0dLb9c4q%R=-7EI z1usVn`oD|Z{AWRc0R{+XpcCh!YzD!2p56M;Tr}u6FX|puZAu2o`}m!*^lifB3a7E zcsU<5UH}X$wjQGgul#fjgKK#C0978Gfy7q0`E1ob>R9qee5yy|a?k0=P4LN_`)UYo z{Uan$j%NHHUM@p&&C8L1fxCMu5)8GY>u0^7`)V3gn1!DU?!$zvB4h11l7~5oUBhJ7 zE0FYFfMot+jKQo{39XRo#03t8i)0Ztts++e_W|{V@^@Fx;S*BDtK3PJ9s(o6ncN6u1Z2l!+H2 zkpVuAvZXJ<-voKzyd&)v+NP{fI|c3>5EZ@`5BM=6yz|@@vxRM zcM2e|(z^BDlX1E1HMqQd0g~lx_}sIQEa5F(cLu6|=&bGqy#E*^Y@|J+i5G!}C)TZ3 zv4Ra$dG{Y8vBhci=_s4RUM}X%naO*N`KVjZSpvcN#4TeNdc5n4&qjiQg9?@+S@B{d zHcT&NOHX?Fl|uL$*)8*hffA8Ek*^CxzHsXXED(Y)@zB8&S50wP4?X370DNd>Fc)@CN9f`2pnq=g*Ma^*RXV z{TY%aSE203uVu?v2CH;xeZqTixtG0K{Wc_<--P6THsexu^3aWZ+8034l8*s=%Q*m_ zbOi;r=~&xcvE}W!vR7o?y8v7CZd@LC7t8n{w)65a61FngL-5I$AX&+F@8GT8z`KGy z!qocWH>37z*wDLq=eP2y!SLzU>)3#uJzUPKkj!B9i!VcRB3rxQ93(q0!U%uOMHt}^ zS9t8_Ux~7n)OPok-8a;qxfa&>f_I>nee5JQK``RphRdbz#3gn@m_AtZ0*(=zYIj@) zsqGeay%V{Eyz4VRjrZihg}7Ysm$*E5Et0!yBx^r_8`we+P3ce!|GeF zVj(*+cRiBsjYwu}LV|Z>YQ1|Sl&ro6ml)Vn>*w8o%ZI51FAvISus-+!C_cni?sz{E zj4q7&Kml{X=|?>Z!hcxkiip3k7t z#oN1kwoo|hqe$$1n0XD#d%WEL7f9>{Sg{dhcmbx?e|kOC&gXqTi9NS>Y)akTRZjzW z3vY{!qs{9-h^eJ!V_6b S)wlA-*l1gG9dHOXS; delta 194205 zcmZUccYu|})&KYIURi}*S$3DQl)bE)1cfMAunT*Q2#6GoCKeQoB{qthSWp2qQQnv! zK^Y}RL8DQy#u$tZ_F58SEWG}V<~1UusEHB_(O6J_=leb1dG5%w|Lik!K4za9s7I!_feM_GOiz*v0JUEpv zp8tHQ<-1oes`TqTi1A_=KjIgQD$NZCGF}YhPk3NarEUFa#*4A|!OhPvs!Uyd0HcKv z|HZ~dmEs-yG5&RD7(aL0pH_z4wh!aQF#gEhep*?3|6Yt2!}z*me_HwIPa_#G2Jy|u zUGdY(yv98k@66(P&kt_d=YgMAMjo>pqlGY;Q{MV%<%O;hj2FZBdpZ_ZHkF4nUJT=3 zJ9@D_?aquB!}tf6EUw(K^y`cl!}zUUTwM9!>|u--!}$5VudWO_b137*F#hdtU0vDv z@|_qjhVgaRUtMW>Y)8h6Vf-Z^A7T{1TuyfiCDDI;An{dZZKm8q1GE}3JSmuBTFWu!}H{(IMDWi4f-OJ>C%ugl6^ z%1D>YXE$G$mBEyeE}5Ioy)G+{DI;AnPab?-Ryb2ey5Zid3a#y}*JTAYWu(h=Ca=3T zE3_$do$He6xaHcc0H=&}$&5Mm+N?0AjC9F-y3@5;!A=?Jl9~IjkQMTjkuI6m+d@|0 zQ%1UElwEV#Sh~!{i*I=8gnHTe#q}=_9f0dQ%M+08v<@X6dt<%1vb zIx_feR1Pd#8Xh?%$4Hmy zpeA~~bZU-4&1Je|P%9mN(rGzHx@1s8b-(iT93x#asIC6`(=&36bjhIRT6^A^IYzo< zP>a2@-;5k1T{5WAzP~QdNS6$1x5}|IbLmKz3~IV>uF5mgWlh&^HQnl}kR%}fN-(RGeNon|J&vxHyg7}RNIBAAY@a}4Sl}kR%}fLt zUFR6oX;#dcMcGQ5wfK|`LrZ8C#nO|0I%O=seQP1bQkXK*C4=fGmgbZ}b!EC_P>n^a zkTTLGgX%C^mz0q%8C0v$N~Mf+$)I|U)-GkFOGd9G_o}4JSG9P}U%RU+J$3Qgzm`~4 zZoKf6E|i(2NBzJ`Q!B5%x;=Gq=ij`l?ALixj`1$kDP+8R^qm~z-KmqvcwcE+j*)IC z^tAH8e$#O|M!HPL`%uT`81Hi(N5=b8N9P#nlJUOPw{whi$)I}s=ub!H80nJnPS+7R zM!ICY19o_hkuDkUj7`Zg(k0^^v&lI|x?$}u_72&Ro0wyy%XGZMc4&_A4&FpE-g%pl zW28&QJ93BQ80nJnPTlw%BV97y!5f!jq)Wy-dwE8>WW3`yHkXcc$#^F)&q#Oi^0!+T z&wQgtOUii%acm}2n7`v)!>UYS%DhWhl_^Y_cLl35g(>qcU{$6tW#080m)(oPlzDr) zDpQy;Z&Q!QJNVMGdE2~|_Q2cb{yi1HrEbaF_?|CPM!I~*-dFJal`_&L<9!a#rzs;{ zGTwLb{GKw>CF6Y{FE=S8-B1`}WrF=MFMlZ`U8duGGB3v|&myGuj z-L9mJbjf&M)9q8rNSBQFS>4X1jC9F(-`DMB%1D=t_o3bHri^sScwgM@cgjeYjQ8oi z9!MGKl5yXF*C#0>T{7-tIF8 zzX!LBeWfw;P6%N#pAXzN^GJwc{K}KJ&Abp|7~k!IZ8Oh<7{))+)HCxoh++KqX7$WG z3}P7n?4NpOUIj6XpLSqV=1CC4_($(=%De|+7=O;!du1L2F^uoNqF3f65X1Pw(B{lD zAcpZT-`$*f1H>@C+g>f1`%etxw|cB4bN`89{FuqDnfp%+m2jxe*H3^pssVwC%@}QpCI~k z;rf#b-RsZ!v>j!~ALz~Z8%i&3Y|jc!dYc02s~P@Mdscu_M!IBfSk;~trj(H`nf(^F zX9X)|q)TS^M^S}=6S&2&->5{4a+n}uUrHpjR9C6*CtR$w4bjf^j@SvEi3dXB2^|cWO7?(08-`)*CnHzn#;k` z4PDO{`Yc}fX^;CJ`0(wvdRX^6%QM2trozyAnDpjLXN6Z0fE|D1(X&F&UWK9EV7xbO z3Zr`!hSkGhzg{{woNa=7nBz|mpA&9D051yVQ~e6oY)KF~F6@j}L{l=0 z;*O7dhiCg28hVwovX7cjB_n)pq83FkAyk28e3;Pi0VY%gg{b??7%g7=S!?^qF_r)M z{Jb=p*Qok7ZaQa6MA1Z0^O@!1!(KXVOy%`==A`kwM$Kmhh_~?(ZbK0iqRNA{8?kqx zCH!PyVfR8QJT|bfOZdqt@vRFXj@r-cA=5f`prxe<3Q_IBKH66=wJ1OV>N>NEyvu#o z9b9Su%VlXiuTj^Tv1H2ar&{)1IH<5yi(*Njrh^4#rg~_QWh%u`iaO3rD)rwUWOt?r z3Q@V4iS@+WO{fS8QLCBBrEoHYil7kHnVDb+ONYNdz7orc;>n;sGs~P~6i){A84ULF z_QK$1zh*q@Fxc)zJ6bEOf2q*DMKP43=7TYxQmpMACP1nfO3|`2>%MsU>#gCn6AInD zkSLY}+KOiKac6AZpM}@mB5N2iNRjDy$G6D}G-HL)Z4IsAxQ;?|gYp=ED@^{HMPFsn^~yluc2lj7Ip`Gxls`h_b{0^6 z2%`|t_YboX(1#8;Z&w)BO&{?^2;!Hk-`)c8L+y=#zCbt~0lnSua|HB4!gJdfwp+aM zlWj_RWZw1EHIpjQSamB-s(f<5LcR(yt9IL=aA*(uY4I5^-^J4NamiWXw+Q$EO4-0TK^{_|0JFd}G-lWZ@ zVOyadeoVA<#*HvlI4sf9nS%g4lPITmA^;a8S~{;G0AC|oI=yza0Gx_w=^TgvyoYG% zd=CM*3DMH|B?9mVqTu`)0XY6pT6*ke0eJXOIQB;Xt~|7KW+DKe9a=iece9p`kMGX- z(%py8ce8S?w?~O6xP^KTnp24_9KBN_!tqT?OP-pOnQVX6-p&!v?*>mH+BrYl-3rA= zyW0=yLohXIg|+{+Dst!^X3cyM*Bd(VlU1eqZqyZtUkS(VVF~LyQYetZpFpVZN@4uq zv+HYn54xc8kA;^qS|5|wF&oTBRdB+{!dFXAc3hB|Y<=leD~!0z9$~>qdjx%YN@Jd| zpK1*cL8`n!sYu@C+av8Rl{Y99NMZY)CRARbP#}eqAynR>P#}b*I~&i<%(b!MHGJRhP0S3DG3R z?`8L_>`GzG$9#+Uk)LEJRfG0XOc49t66<;Gqk^(-CIxFCfwdVfdUlxcUqpc*7wAJ$Ac)P@dS$g$M~x~h=+FK?yjcG{%BnH% z=1%NHKfR`=>L#`>FI>YV@8wR;$)(33RPW`+NkP>#q)Wzot#MLNm60wP@4WgXp!8m( z3s*-NeN3UDDFx9b5A$Xe`jotT>+^%uQRi)o=1+Jp*XIYNjC8}IV+y67DP+C*P?%y} zfA9PHtRZ#rnYv)!+}6hy8srg~8U`F&D3!eT?DL3*Eq=PodNI^eYScOdS^~pdXrYrF zXBK^Oz|&pUX`z-NYCUgSO({73g+FwK;hpv((2b6hju5-six*Q}bm*9B51B$&PX0q! zbeKIP`q*(Ql0|k^UYtiw!TjMrOtsq;7+$rcO6YvY$w_h@P)iWCc0SIu1cuJzObgxd zI9;iJ>!-S`s->17Xbnu`Q~t^Wf8Q0B&9-Mn2R{z+Bi4FOOhq(O8IZ=O{L<5Y7dpOa zkBxqRoJ(MlT}Kc2ArleI2RQn8djNsq%;W6=;0cJc6t=$j$*vfHp zq30jxKm7jUC%Rra^9pJS;$x@rDG$#^SW~vghKC@|w2;4psfgxdr|~Io9*=PE5%$>d zA;c*ia)U4t!7MQFImD?UDI;AzJ%>4k=#DcJs( zHK8=Y?hDR`I0?%lyR6YcZNXvf^g@YFGR%njuLVkQUBoF_|5&)XtA6VrQ$s27_r{)J zcPTK;Kf!wQGc3ktD5J0CV`H25e6*{)%2h=|wIz)gA9@|x&=TH0w9pXNolxjkXVGEG zNrk>)S(~+QHk@9V8ZNNp@=Bwqkca}M|Gnk`E zs)`M-K~(|bd-ofI4i2xqYt5-(Vfe{ra}|++Va+jCAk)~>++9V&JLAWPwPOpd1Kj_V z#`79{cz&{_BAT)CR`D8rcg-UUJDpUZr96rf;p0>Rgy$*AGM@7jGUB0K{v1*j!o8L#1#u$q9S%lu;v^io(v7;&m; zNlNm*@nb8|#KU`Graz20%zUkWonzppGDFXYX z=y8>3`V}8OKD96yLqf57NEtX&%;1MbtF4z)YArb;RtcVQsIwg&L@u=|?^NN#zx5lb~F#S8$Y*2s#gTjb8mJ8BI`2Yzd_G(~i z(-cnze3*Acp$~mOu;>?^ZXODm#&EX4*0 zJv1~u;{-j$lMzn_P0u(%FJ+`l22IcAhVP{5^IE!O(7tS2uZbdl4`iep?rp4XZRhL< zO*lyy=`tO(B#U=w;!47xZ8~+ePtZfVama0&0FyA%WjbgjuDxFqX%a@dWY83h6ZFz& zmo6DJ`sOuiVo#EebjhHJH}V)w5K0*7l0k#+g)U7rN*L*qL33?WSre8LM!ICs8f#lW zG50N`O9pMPJC-hmb)tsp+wCx!;m588nI(bmST7l0nmF|C`6=(vdD1GNWcN>rnj&Vc zl#woem$gjHqA4TYuy(O!EzUvkte!Hcp-h+Qpk|CkCS_3fSur7lsy7zBl#y;&w4a$B zYXQU}oHEi4@R_x9j)u?8OBu8eOqaifc1biTDT9`WnGp=Xw6=53h?}OAkuK9gBP*J? zltJss%o-WA;mq9e8>NhN$)KSaO>W9amkb)5(R8PbbjhIM8moYmkuDiDfMZpXGSVgE zjqy`+_bgp7EP6dt&|2u6oEO$CDs0ue6IIxoA3o8UEz7NWvUBnCZ}$mTU14Q*#Vb#A z#>Kg!!iFo%2{-+&CpzQmTtP0_cjgnFae1yF3YyPrf1)$4&vhuQzoIa><+~3*-WeC@ zit&_Yk9)kcs1>?`T(H%Xk9Ec+x`JG=V%Nty;~HH-F4(lN(is=&3Ua{@*L8KqRl0&) z(5KYZ8Q19wa>0p*t?7&lbp^R#^!ckh<4RpYF1YOKNA1CP=9?Deg1)yr(izw43Ua~Q zDWr&(1-W3#vIjfka$P|#*tGDsopHUcAQyai{sWzH!LA?|oOArD z&bVS%kP8-c{-!f7*%f4S`Fe+0OA5tCpN1zS9RG;53se5&lhG!0=*W)yJFy5CbM(&*=)Jf_^qxz)&M{Xmy9dulM%Ou}`w#EN z%ORud9Md@KF1*?B-tWyV&~KZYP=fFrE#bIyQf8s+ zk~#93Uu4BFWu!}{an>zaiA)*klDVMc)~s-*jC9HTYyGWRIZYYqlDYoQUuH!%Wu!}H z%@1$ON^iT{5lTT$vT`l#wo(+9U7CN_xsjm(1PA z-5}mZ!aFi4BVEjFYHeqQ;;omIkuKBmYC|iHlKb&`=14MLgLp?KO-H(9yfV>Bqa+>a zlJR=QTX$(X(hbwEv3q7co;YjOUA1s@5rQ#boHK5eR(G(Wu(h=ypqZ@UaPU$Uh?|NyAWwQ(hZB6?ESEQ%QMoo zflAhO-CMk3bI>a=Ed7yC5iB`1HK@uGp&}^sIxGsencu@rRP5+h8R?R7 zQ|I|iKfs%iE*UqOvEyA$N4jL(^m@Ke(~&M2H{sC{P)$d=WV|Yf4uvWsT{2#!M8`yx zkuIi+wYIZbbGwkr%QccIJHMa|1RYr$Pm60yf@hUeuZmNuQ$#~Tr9Y9q^ zx@5cs5FJTXM!ICYB@rD`RYtnu-ce>d*qYIbBewTEZ%CKvc+1A?mGu31Yvv?O}-%l$e}rHaTzdc&&8<>rP{C>5DV_ggr*+_wHiN<~(s&AT5rxjc2X zZ^htb821K*igp4aH((}G;^qlFX8BaiWMZ$U2Db;pV27rKsMQi5Et?BPSpo66s$ zK#&W{;}5l0;6w3(TyW+8PAK28bP6>Dx!}ViCX_!odol%rT=2#JJET15%t;goa>0`-b5a>1wjjV~|gaDgBfJb3rG^8PnZpoSn9JU)0_dF5Ca z2y(&7tHzdhX?B4i3c{>q=9=<&F^KOS*8HWgRrvX`LXX0PaQm{tSK6GZsoAkyQ&Z$G zA2IV_q{(KQt{I;)`@J>>H$+C)IcCh{F?bp>y3R3MJ$4XY1sPrEm~ML=g!f2B*E#0p zyT5^tKt|U&rZDsy_<|gh7}`84D|IzB+u5_vB7bFZ6pARB=ZB2S%3jJym&^%cM`a~2 zWu!~y@mZs?a+osG4O4z=_IdfJtTd*KbeYcBS4L%pGG+egx?%Rs*5MNrrrd8GzHPU* z4&UbkM`uMg{e&X<%2u8{IxD>?BVB*>4~)(Va>_`T%p*;mS(#3mZmvt_d$T&T;+-1-Ei+`4SiSLbxUDholW>1U*31-g0RQ!Hnal^a9;a@aLVn4srAvOK5de){(2j> zvx)+_Gwi#fP_j|($>lrCXYn_hy3HuZ0bSK5eQgDv)d-iKlLMrPd=#!+VaW;%H(IhK z)E#d;>I1xc_nT3UgS~>FH871&`O`+uDEnwF>X?n2d_oUmw4Tn5EFA(`JPC zR%3>P)l{#Sd{U1^mg58;!Favlft7aG0>jgml#OmrF5fizB0lTUOJsr>dzpA3Z9I4CR{ zKi!hXqee77?0Bc$0cyd%x6J1qY@Rc-90!91@xCv()9zbf_%XClMP7Ebk3H|RZe}^o z>=8r@f!cEP`98FqGSX$r>yWa*@E%e|ReIuKm+^k?8$YWY$ATktZ^hn7H9EyNubEX2 z?;dV%1l4Yz(hvNhEH(}bi{?+ie3$*S!0^^x_S2}7f4I)It{*+S9EX4fQER`uO-o>y zbhl}t!rrvd=Oi^hHM<-Kb_G#u8MFk3wS5~~!o$C{=`Ed{f8PWXJ@7`m-Dlp8s$L*LDU+4uT2u{6IS19#Yq;0ziE->IEP1Y z7_pl*im&-z*%nffNqLzj8j8)gnWtS51kv=Ee%FG491%Xb*PJskrtq-cH5wo*UO^)q zTPOX%%+@bbHD*yXM&A4|&q$YzltE)n)d$hg=m!EaWL7TzgPT>Pb=4&no(${w+$MVwGmP=+6!ionf?{`*=JNl z_}P8-LKI-4?|$5onQOEl%t}-1ZD=VXd;u*5sP*I<9ho&oo5HL#wI=`C<}D~91AXdE z8oT7Fj?4_BxnbrxEdRA-aRsOf$Srspd(n*@nH@$e#OyO$7~#nK3%lA1SdUqFKfb#M znAk0+c4USa4HGlayy5lt+YKus1ATsG8arl4M`n@HKru@V^?}P@8Jrne#WNM~Ve(Wn zKxu4BqX`4kyWv4=W%)HVQwB{Kv&g*B+kRu;LlNPj-`L|RK&|3sgEM=LMvYlyYBj7f zEk%UVD$`PcT2FQi&g?asIcB57^b4&F&D*+GLtTo_aG%bZ#vXcqDKpJz2bsy{Pv5h3 zttN0OHncrpzpsGb^{7&2kkLFcLmdid+wY(8fR*7Ga}m@OpxTM6+cS%d7L!?O{^GL_ z*uyF!Y=D*m)cW_x_RJ!q4P}-ZS_5~wbx>w*6`%1w<0g$wX|%G;Xd{)DI~xaOmRIrN z_TSnqD8M9B2+c1u+hNf;_Pm>aYtI{F8Xq(@1*q0@#=y)zqcvxCo6mdIgZ8|N2=o7C z6CHP&V0DRNsQUiz24qGW{SPzV$Z@461u|&K36@vW$j=81$P6@kH)skjy4CLW=!fiH zV@$^e`_+pdvR_pU6WnlG|ICP^_k=bj^VOBmjWIue$evRHsvUZNTiF)#@me;J&j|zB zq=*a*>klgQX->`NC!h8!e{w*W^?|LKTk-8eLqlqCgPGudVc@R{+lJ?R)YgZi9xiN> z3x8ZV{E@=YfpFDV_ucHCm{lL(rH{Y5@n#i}B5t(=KYiR?ucoHTz{ekV;DevOy76X} zkuKALpFVE1nWiIMRJ)xj@9@~HiR5ELy(rMkZIzSNbd!IFS-vYy-YfKB{0&$N0(M!5#Re&IB-2g3t;a+HA zq#(|(fAFR5ndOg=wZ%(KDRle}J=6ln079H(kJ#7(7tJ5Mw#$A{U|4Bsl`y0bC)sbF z(<3wGf~d6_S^`7QifLhxAx^5_XI+oXlnbKPw2HOHQt-a<4WZ)!YmH&}A~=9uQJpi{n3-@v)VdN{0>h2a z!pKFOLf`yUV`jnyQR`i32@IWoHpkB8j!}PeC^+x*!~Xlo+xx{bAOV>W!7CR(r8KJeeh*g=z7Us z=zdQYb|@kyG=0UqvEO*EaAN7>nGKz>4KJAH83QwM0{sO~TH{s`6k=dT6X?TL?X~s& z(pX+&bVk$X2Ws@6@jl7H@85jaoONUC0&X&4bQaRlO&E%CTU1@=5`nus8f9JQ7&zL^ zpfj)NI>*3aAGc1`b&i4KKW?3>>l}jt1Zb!rz|Haz$8-$qafN#H5@P?Hr*5(?u4oWRQ>i>zt$PeHwIbE ztm7SpS(&P0L$5y||2jg|O&5K&GkQ`mhNBtt1FyODE1l6-qWFvtvktcQNgA8d7%hr3 z=s)^{l^sQd9#7kEE5Nk+F5jUudR8zFWmX<@{`-XEpDt`uA7f@hO#!N1I%E6JXvs0e zr43xeny2j}DIx>i6_dtp+q7M0^rm1G4ST!x`lA^Z1*i&W$vAt;G_vjT!JW~gf-$-{ zbN-4y+EXeb{LGRnDZr$5d$GMUdP^|+XJ(!mSl2lQqllO}KYhHtiFf{JZz9Hgfut3n zTCdFmJEOVB0Hqo9&})({n)s}LXY`UNKI7dJlg2JeXbgp#fyWKD{N|N@ozZKe`0(*F zb_)tH$rQqXteJT3?i&tyw$P(K#&kYyR%_O?)-qKLbyr;5r!)FiF!F1rpV_wQclJIN z5f(mczo-DUem%0aGg|k=I?;alj6lU^v`>|ntqX5FYyMOj!O-@cxlmz>8{L%2imqDl zT;ZsCI!bNWt;@&aW}p?yYYoA1P*6i^IYt9rH;bi8aYxYmd4q;-ltX_420n6d`ua*T8}F_r^)IYzpgV9PPR9Ha3) z{+34Wa*T%h$Y>BR$4FNbhdJn%W2DP;G_;pvG!{rkqkK6=x@0uqmt&+GI{wcZ{60XK zW2DP;Gz^$y;5|cqVUCe584Vxim~6PQP}|lv zAt#Qbj-9XCwh+(1g3(Aa8c__0r>o9}8Y$2)q6-wDKx2n4P=Epr7`i|K3N%XS0tG10 z(4Y$xpg@B+E>M7iIC?X}p3;U)fBdR#hNDp*$~794vV3bAJ<2iCC8JTO93x#a8qLZv z(j@~|j}4gT80nJH=vt1EE*Xu|!;e6lhqO0^16YW5g7|J>0e4*14|Rsn&KD zxoD}49`Dh~N@dKgB{*vF(0gL&I$p3r)qgYle*qd&f#>Dz@D=Y`#HX zY70%pwo8XiS?EKt&{S-@d)TZ8Z}kXG#kMPmO`LGC&{S-@iP+qWRwgbq727T(Hhsgz zLQ}ErUScyqTr4yd+rBQAwOuR};@)A|{#kl^X5~=Hm1Q{lhJjKu?=qU;AC{2V{D5YygM?w&M~&h4qiVQUFR6vr3YWo zC)V7vYi;)y+Z8G?0DL+=wQU8JmHhOH#Pe5dE2ymar;K#T*d|b!4M-X3lCiCzGDDCu z(j{XXLS^P4Wu!~S_Jzu9Ldr;&jBO8<8HSXRE*aY&DzgqLBV97KpHyZdQbxLDY=5ZC zPNa-<$=EJYnXyP2>5{R{qB4t-GSUr&TdXbDu{bjuDI;B`V_QdMwj*V1zbWYYe#=W1 zX9gr?q|0<{zo^WLq>ODl1zj?>zf@*QQbxLA#G7`{o*Q$yRJ!PXEqSX@41av9uuXX7 zUxkH*=5YF3g%P!X9~~y|RNKdbvqxFK^y#+>J?;9Hx2yt2Ep=zvr+a!SZVtON)t17t z4TWyKo4Zh7e3@AqI`^vW8?JiW+)=2+nlJTES-3Q;-W#L%sB^Q~4W$C}>9AwDWlH5;_!S z{o59Tp;D^f@k}a+@sv;<&3%6c1%h0Fis-3d%%VV$3s40OJK!t|1i1i}Ps1Z;Qy|C% zsCHg2eUAb`E#t6p`NjaX8(KH`!;LWHa)b8&1X`>#9I-n3Kc<3*mf%+RiPp%gi*Ir zQWYwKLRfPvDOI5&D1;fe(h@p0Sz%Lv0@!XVEqI*PZmfNq!`e-@#Eq469X4zHVpCy2 zp_y&;ziqk%15l|ZuVLM-Xn_ek|HlftA}EAex8gWMI#&JaWNqIOYT2b1*BR zu;f-KkwUog1A7!jP!%TJ3MPe*LZ}D|VY{txQur=}il7ii+X^U!Yj!cud>YGZm~1Pl zVanyUyT!s?YPD0VqKTmGVP%$yT(xIy{Q+q#uhD9Wg|{aDu_$c4=R6FDkW$_aQ+n7G zsn0()?_A70KmO31X)(jBMnIoAyk~)i^s`| z!06+J-x`DcKKTXSLO|~~4Eo3ddY@qu0(yU8J_34y;XVZ7yZXmRwnggHkGC11H^X1; z{lYwqM)CUG0rSJ`&kKFA(PH*u{`A9n7*7hl+U@c8+S|OCXb?uzCMngW6+U>+%2W#J zZPG*>q}NG}^fjjn@mtjJW2A;e24>ZuPYQPz>f_AM7e2MejPo_O+H8S1qjFCKG)Xd? zY5`6VwfW2Z{hFGpTN4vR(NE20P(B0IIOp*T1T=RsjQGp~aQtD`%y$ro^AN8=AkGT> z9Rix&7d}QH&g>idxdq~cy{QPq*>wvM(0sYjWq}q=gX8^bQe7CawS9~6MaznDPTW_% zFugc??OO=MNwW(O&=j@skOfMb7RwYh>s4>V=h0$U%WKA4XI*bJ1yP!^DQz1qweaqW zxPhnMIq^6z*7oAQ=I&bCVbf>6QYH>bU9;YPMzc{V69-vIGgcX|@4)Lgdlk15C&e97 zs2v(^D_Cm!NU0W0!2dz0k49nqnzs3M@33vH^-c5@DHTc818PlGGejvASQYA%Qy8a` z;`THlFT7G~w>JyPC_j*l83o&KxBHAx#w(lfT0DPhuR2RW*(L=kJPkr+BMReOPrHwB zJ%q|u6bhu~vk<1)Ody3eMP=8pdqeH6ONvG*yDR7r75I=PIVr zyw-$7-m%SFtPjU`tKG^DQsQhz5}J2N;^A)gOp2f|PBrA##_x2q;-(l%HF=P#gX>LI z5fo}pAcQ5&_et@(jDM)73gbU@phxYVKm1#!k7HYpGPs;?cMt-t8lx^_=H6M{1Y ziE1jJZ+<*?YkLgEP#UN1Ve{j5pRJe1^IB8$c&D10m&Ws26Y=;uY?_|h7K$aINp?&{ zlkC!XUTZELQ#o%Nd#Q?`FixLazNbC&x^2v|D27r^ileH{o6`*_mV_q1kr>_G5?2I; zn%%~`)9ki1p4V|+8!UM?-}e0OwcCZ~)>~6vv)fXX*P7VoTfoL_yAo>o zv-iTlUbVwCanClh?`4!vqqEKI5%Ae?wwXNwKE2I0v$sG=y9w~VG%HZoPj=cVK3oCb z&F0B*Kl^6W#)LKQXO94;pm9HY1TeFV``IIa31i&PzQqEV|Hb|65x|Tt?q`nxCTej% zdjv55iu>6kfEiWX&%V_Hm^#J%>=D3ZDDG#E0OmMxKl@fQLOyNMy%aUJiH$0-4fE` zFYVOFggzX5hf&t0P#}ftA;c`axWl?Y3THhZjVR{W z#eM8WWAZ}pL9LnH^ci$l@E3%;d*_pjQ)u1+ajSbN(A9EY|JsILm>#b>a&U=1ybpr+4oJEtmC1cg4e?Oa-- z@WoT{EEh!+@!4zi5R^2DD~;#1Pg2uqK6?$U-L+mz+r#XEmhUx-D@A$j6V!OBPf1g@ z(s*9`OtiVYeVe|eN=~sPFw4w}9*8A_?BNxr40FlMph8yCEUPr0*O)kFc9x8$OQrF= z#_X_o%FpCy;$0|~1ZIK7qkevm+fW3BnCumg`uPMxMNo)YUOMV0*t{k^p<+p3LYEHu zNeIP~z+^46?tDu&OVj#r9zh`{W5L?@Di-<-?2O(<#gf33D^vj++O1)#00o#;g`|oERbeJY)YgvJ1nlU#%0yX8a@Xlasb?w`~ zwtDIi$zo zdoh=FW`JIQr>@fu_rcNTy;tpLZ?GGVFu*`#n6y(ZoVQcnYbp5%%RZeLld;p?%iUjk zXLLFdq2n9Yi{psQemO?E!4@v`4(oTS?OyN0GW&)1cB(Cvd?@CCOs(>PFMSlmIgdUn zGdjmemy8e49GGLIOUB1)4$3joCF8?32j>{+lJOCoaXCi1oXObE5uZbHjC7ff5A676 z4S22b*?lDF5Hdc-GclKrbjkQ|&*U5=A6g2_n0x^pbt&?=IcM% z#e|ArD((Sxp^XhR;P4(BbMo78=dufJR6$ev6-#w@Gans#4Y&G00SerEeKeo!OOq68 zE`_^?jE`Dz#!~OXqVUe}+TJ)l%to*F$@J{n*+kFBto9?L>m1`lR|k;Mb&l~7tkGn2 zonw4(;=t;Zi+T-*hpD@op^`YK=EE2VRn;_P8WBMQQ8yVW*m0A*r$Xg7OgN%_bc zu;aB4bMepu4AN_|t#CBwHrtV=`Qe5oYaP+t8$l4D{8)Y3!rVT~dx~ z4SW>m@X%$y(Nh7c8sz&uq>&m1B;Z3nM<54;z4ovtRYW*s54&9jNNQk^*FH9MB=e1~ zbBqre@mPg$JyKRgxEm=eKrIa?^4iCizKxUz)|M|R$F&8D&v;*nkjAF84@e!wr@CTz zZ9`8*P~E^V;!gYeY2@FUF3A>|spPUqHz`0(KwKq^v+Qih>ge#?NNZKd9E8H2wL`yT zJ$`lkd@KbEUEA64${)&wh5@PJvC*+?$(N0qg?oQn=sQ5;U;Me$ghe16w`Xm)MmKA5 zB+VKOeZpIN*6xcVzpRbo`;uw$NS7KUoFr00^I_wKhbt0u#Huq&Op%ROG#~nPPRTLS zC4=TebHk(@BV96RKD4d(na1hONS6$n4^vl<&!r<>GH5;&?--k7q)P_PhatC($uZI; z;}apjkz=Gw2F-_${#4E}(j|lD!@S1M93x$vPiR|OV+!S{93x$(gXY5vUHj)4G(Ak0 z44My{%KPOQ>5@V7p>6#>IYzo<(0sUK>E1a;x@6FN_~7ila*TAzp!qQ9%sq3AbjhIk zu=C|3bBuJ!p!v}B*d94Xx@6FNSkRGYq)P_Phy8EfJ(rGj$)NeLa%`TFE*Uf*c4^Kt z(xoGUEss1RRYO|Cjr-Sb)#fG23$NoS^_KdUh@yG(Xmr?3Ziy(G2+WK<>z0V3iNGw` zE87xLG!fV{d-Gc&iY5ZPXdiG(MA1ZGcr70o(mQs!6Fb(j|jN%i8;+X{s{PC4**9)x=d9>5@UiscI^#jC9eRZEfd7 z)M#?6jC7d}8g*6EU1iX0GjmM_?Zs*pP-Ub`2JOyjRZ?Z7O9t)NYL!%Fq)W!z&#_*s zGSb~Lr+yjd#IP`ZVQuT>gUYq529@h(wlo=1!Qr_>jvckeTH%#2ZQEks@Y<1eJ$U9U zw%dnDSeX8;x>AeXQ3ZSTLtwvLF~|k>I2CO44}l;T*t@9UlmG|>xxijz1xE}(Ajk#w z6)HHN00Kc2G}|Yv;M^4m917FERX3PN$KqfF#EbEiEbmlszzPJGM=A!nz%pJ1M>0Sl z$OV>bD>%;q0zodYEMLK45D*A*ft8mE4o!hTkPED^*@I7{K#&Wpq*ic-1vCV?z>0YV z2Vg)T$OUF2Dt4F&1%g~)_Nao>PoN>l1!l`C*eMtSK`t;mSiw0b5D0RC+0Y6OH-SKq z3(Wpja8L;Zg2HyQFD$ZAl$tFY+mVr#o!=NPMr z@uGRxq3QFw?mevmniVU1zR+<&U0*ahQC4#5g8e?M<(L(&^vy`wA!|Bj1ucc7?2xq` zvqG0bQg+B1k68gsAt^g#t;eh|rjV2gwOjKsE0`(d$|$6*C2KyS{N>(&bp6+?{g@Tl zG$AQFWDUry@TQQI9kLc=R*+Lj$_`l*GAq<6BxQ%J4Ve}26q2$-)`-jsdkRU}A!|iu z1wVzP?2t7hGb4~fQg+DNk(ohAAt^g#4av+nq>z*yQvS_-7AX_bG3(Vgx?kJO z-3@2gwT02sEaRi1z!p2CQi+Z*pG=9UF#F=V-qd5Af?ai>hdRYJZAhf3Gq9fyq^MYo z3{y_9st9!kHqn7VkPA>}V4oZa1i1ip1~$imK#&ViX<+{w2n4wRl?Jx7fk2Q8P-$Sl z8VCfr0F?%|q=7(?3s7lb*BJ-|xd4?0_LPA@kPA?0VA~i71i1i}26l#lK#&ViX<*YA z2n4wRl?JwSfk2Q8P-$S-76=5n0F?$dW`RJE3s7lb+Z6}|xd4?0_F92JkPA?0U?&v_ z1i1j!1vX28K#&ViUtm8J2n4yn>xfwt2nvN$>iUMCoLIMQVOIG0iFIGGJy4)$Uf2q} z=D0>xVd)`_mXZQF2vr4kWC0ZJIl0dKr}LItjWmHI47FwMOxoD&5fs8eBiB|{6+-x29A;+7$W^A#&1oIq=M*2!);(Vnr&#{=Kkd%3UC79<}WKu}Vq2vC#)^;=P zvGk;jbUh(h=U9?b2&UbX!-y4@kXiOvs8U9{o)C<4EMO@F%WldJ!8pglmO@evv+uEA zGs7OsUCKz;6M}7yWiW+c*iG3X*ydOsQ%K4V!8XUTnL<)_2!=hD(-e}jLulc|GMhqD zb_mU*SbkGT$_}aYFyp0=l&c1PYwu5t>kPyGQ`cVdPQ<~~TYA(Fth~L+j42AGyQSnE zii2{HlpXTU#eq3U$_{x)V{{IZvP0hKI3Nc}*&*+M?3aV2?2z|H_Q^p~cF21sd*vW0 zJA}FfXLg~`rteA04tbAdj~parhrIW)TMm-4L*A1ak%OchrgyU@F?&A4bBuI7A@AVq zoP)eaG~6NY?0h{3N!cOq_zcTIQg+BYK|^zplpXR8(M~x?$_{zwXvZ8RWrw_@l!v73 zkawDf`{ zP=EsO6Yc0fs{jSwkd#0H3cMw`6U~IWi$g-+S#?88-VpVV96Bo3#GfQ%K60 z{l(F7s?jndB3-vo-pclzm?q>6>#sZH?RC%7DJ12rZo!#%TmG7KJt6NxcqvO0@?OJ; zthU2(ds`+XT~Ek+HD2)3guElOheO^!aubq5Qg+CDT5gO|NXia*m(9&u3Q0LE`mR-X z>^Qo8Od08VLf(sXOPfO8aXi2w?~l68P9Z6W5l5MsVXxSYf67SL6Y?&yS0gFpz2bwy zlsoOV*)jKCOv*@?Sz5h!hphdF?#=d1@qlA|sG6Eaddm8QHRo6xF`jV@k+87*`&L%t z!Nw2>a={5Y%@_hfE{I1MLm~qcvOc9Lm`*+*6;Msh7<-0UOjXCSx+`t{^17`W z7%dzR-V%mgP}g7wNBDuUTmDKU>1K>4&u$4x*>5EtVY?+H<*?yGdvG0vyCoxCPbi+L zyCtNvaKrp}>skl>jpys;-k|Hcmn@#~yJb2$o7aC#2ma;~l5&{cYF1N+4sV%IJS>>+ zD4t%tC8Se_{nz3#$Xi13_+qA{Gm&$*6wg5>q{EeSkd*!B;t9`N{+yH@ibq9n3B@Cx z`ExoqI`?x@4$G(7$DPdcs&kBV^}hYY>l~!Ru9;z1y?asJ)or$Fr;+{jAB8%sziDI# z{1F6TZLK36-a!Bs);i3gViR z_U_eaMaI1nUgL~o9cZg-da~O(;5t))8O27Fhav#eX?@r*7Xg?{^f&KC045T9L;ei` zm__XP*n6o3U<$3184gAOR?hl~;d}&O*{nYpRw4k~1+U4g2*5_c&(iZ}7VvY+XNAt6 z)$M7!S2b3BgEft)R@?h^;`5fq z>RT{nk$h3%57%48jruZr3FB9zALZKX>khCz!1(E?D>5uUpx7EdyWWP!^erikADOy4 z|J+j6$E7rW^%09~P~+(?Ojg1}r=L9L1$t7(zwn1H?2-yd86Z^%_x{|P7Rn4M6bipG zcj~b>)C~_qZm@e$ULX?l4)1Wy%XKz`56LTs@H%E4OTIO%zQG<*StcdJhHsc%Iqi2{ z*nAWzC@1kcW-zFzD0}tG2 z&xkrXdN^WkrS#c#b@gGyt#v~KIb~j^A|1~McfAsCQ(2lw%wwS*%_^+1NX8xjXD_e!mXd5XlKAiky2?REq4 zbzF)-eDF7JuNyi*56FjochMmgoVNxCP{G+uNH;>T&8uEnQMb!Zwm7*F1JI$@i*-W>dX+k(3v5lzA8qE6H@4Z0 zT{EZHFU)$et~+(G#C86Q_FG=jI=6n)(_L0>sjsxWQIrZ&g&#lnbeC=46BeCdxruf1 zSyWa$uf;Q=mQ>)C_*r4xpX@fg&OV14!xlf?WgC+7IznjV1*>uEdobGZu zilZW8ZNqY2i}v4s^oF{6@2&|Cr~cV)08I~D?L>a5w;XsKA$#1`@X(*@da!|uby3ei z4b2f-KSy-yi=XVWEy;PU^jZcT`n8uf_A%TT%hqK3G%zF>V5lB3qwFjUy&J)`g9L@ctvj zFFgJgyLXXc>MN#(HjpjaBYO0JN|zZ=UPp*()?l%H%$*EfP(!Q8R`L;T|I3;#Y_No1 zixAbU5o5~)5uM`ySh%{&tVo#hkv+0C_HE4{8O5i3Sl(6F+Uz{z(cHos!3BS@dqA7Y zmIG3tHqV!!*?+Z<{K{YKBZ~|hp@w#rEfyqNdGX;cEEdE)Mu@k5z^nGeBEytdO$`k& zTR%v2>1hvjVaXuWB4ldTNUg@F{HFi@whK$zFnx($7cA?AvIM-Af@iY5*4gi)RflEe zv)7ry`PWIsh7y`^SnvzogXTWag)Ibd9ZBB%&TpEo$k6#_T^~ENrmn{zIBh&%Hq@@_ z!e%MZj2tzmy;;{!?ZGSjJ}d_7d8)do53o`k{6Kk5m{96bK|3(O$PYq$@Qj??n}ai$ z$bhD9Y@rd7AS#58JFMnPBU9MhjsOA0XI6!O;SxnaZl zx>8RCA{3VY%bqHYymZ=_ignQlcvIwjzrAm7u&0VKr*E*QQh=!1lDzgt%N)4OdWT0h zSk)S1o`aeKL?3*K?Mq&J^X8&(@5RO5{SU50`>yB=5AU9B7CDVQeupaU&7!%%3S6nD z0#r39jCk7~HjUgo=io}L5YUFgwi3*J{r_eU8)LryH@j;EnASe)4z9#10Ie--Krwxz zJ?y-{*~7+|MNm_KYWIyFTd{s4uhA}pt%qS4ux4x}ns>!#d>Fpio;Ho$oX}{{!Sv&v zTdp5Ht`g0C6dd(;y9WiBW(uJNh)ps0#4G-8PaI<&ftmtTYxXuVuhCY7si&H?gK^rY z$8`L|)D$54;!)!((fFg4j*T{;7Td;zBuEt;N(E|66GHnGyL2!m1t>@%wC=Hc2cQ-; zG6_Y&KmSoTxZNSfrwkgpu3O7$@@BZw(rqLN5 zntor`$Ic2R%vkked5xwt_7mxN!x~O8aIghR3Xm#Yb7&>j7HBqO(-CIDrEgdkj4>;r zrU2FM8$YoUYYQ}+VbVAJ&g!P;)>+*YV_t=t0#xf(oK&$&gV)jO_Ilqk{2A-&x;H5% zBg3+%%+SMJvwH&*-SbWB-ppEASHJ4IH|qxUsE?D?9@zJL*#`-<~u_qK3y8dIg>NDn?d-vlx=w$O|BE-+Q^KW7cAjO}2V-BpbSBE~g z&dsa@Oh*cy9i~}2-pmso7JOj$;7{Z&^(9Zu$xL*Fcn=L9nu&KZw0~%gbf25&jr5Cd zoRe8zrRKjl%d|Ar&&gX(or8{h*zmE{^PK7DjdaEHo|Upcm`~_)qn<)O1MvLN^sF52&Kum9S&78wrtZ(XU~Cj@$HefcXFWY*lFu*Ph7 zxZ%I{Aq9rJO$!S#v&MSyvI{cX93i~KB_Gu_G^OB^9T!x*nU3ijv6+t8Xro2@y*|1s zem~*i_K)nRF{>js(+|D>{LD~CXwg5clzjS;l@gJm@nciNM32}^FOE7tv*QusXFu*^ zdp#njPJuQK(z=_ZQ^fZF-`IJfA>9f_dPdb`bli5OTcub;GcP(rQ^j^cz6o; zfLSTAq5k=R@4>-H-3ZM-uh=^b+-w-&(NfpDJ#_StZ3K&uykgOD_skzI3>uNHXcM5agx1F;xW4pZy_+-=>J}%37sIktd zGCl*d7zpL{x8sW4{ga`+5zK-j2^lRYO~WTuMGI^<2Kpk^(u%XQ!Tz z!SdGd+RVBh*KAw-cM0>3;`dE|H@+&`1I$5+xhaLDoUPG_88v03>-UDKNwE;55au$W z>A_#a45V1fQb@`UVFpqx(kUcmhcE>xnu`>YvO}1H6irbIN!cMxL5kKcg{15d<{(Ae znnF@`2y>94-A*AXJA_F{u}(-KDLaHoNU_pMAt^hANl4KPl|oW>$Y%k2otZ*XcF5-w zdwrZjQg+B^CVPFKLQ-}}IWd<*rCcq%VMwt!=$fg;9sy&DTf1-7Ca=!wUhF+&UJd`| zjedfpX{TFObH}qhv3C*ZaA-)UNXibu3E8$@bE^_a z$`1L2AkETBASpWpzhm(ZP4`M5DLVu&=1m4Ywy<-v;>l}L+}~KS*+>P zN!cMd2eWB$NlH?72(G}9$LyASPf~UWj=yZCUXqfO9fDhLQ+aqUB`G@u?_Jw^O&&~A zlCnea)n(HV6G+Mq!87;4*~4-_CuN7=ryF$U&>SRXhv0?2!5|!nuoYR0se$LyChJ6 z0`#~t4FxDbr_GY}q!ge4oj|*D7brjhI+ga^E>M61^iS=rxj+F5(EGJ_&Ot8v1@VL5 z>0TU$-gNw8KF`W6K&ntee?5Nt6hgP$lrg&B+HS6bn2AzGx<0%HKSRupDFm;9DLVuo zM$FhLBxQ%-ABjaIg{15dTrjb)rI3^zg109Y+Z2*=uqhC>C&Zwv{HKg`Js~)$q9sWo zc%jUAI0PS9v`Hx>WryHxi`FfLr0ftpc~e)rolGGqI|MIbw74lGWryH|jJ7+4q#Wj7 zX>WjY*Sr!)8R>dLaGA#HB!%E0?bm6uSuw=wPTz6NmMJ7<=G~qtWZqz3tvB!B;#RF) zsA1xkv$(chTEp?%7Psf_tZ}WXfY8;`$}P59jf=mrGbHasl>Ajf=kpxd7Xu z#>L-)T!76`LG_1=!6rF8&t8PFc&zCokx< z)7Y4c1cis5wi&H=%_`QnCt4|pjZfn`aPD=Bz}H)fn9w@qC&jk5u}m*j!R~}nrKCU( z!k&iNl5Yia5OzV#mV7IagRpNRmVC1ppg<17PK#Xg4M&BxE+wQh%z2HyEinp@Zs3yb ziki$CH#*(_@?xokeOF_7N}oAGyb0{T8p~D+N!jldTVKX5cbcE=3qPjb%55 zr0fv(+l=Kng{15d_Th|WI)$Vh3Vm#1gYD27i+9RM*Av3Fow2B=5cc*oWrwgWYb^FD zBxOz3Txg3p84T6vEc7rtFY%ZZ1bl*}FYfJ#?>k!#^(AG4m}$t(P;8 zJ)N3)qulU&S7+&UADR*HhE7!Af<3PUyp~HLDSKPdD+KTAq>z*y^2))xIw>S&hrFin zDm;ax45+o8HH-IjQbxL-kXImDua`WW*Est*7*%1*&(l#v|ukuNy-j+1*HXh2_$8Q zyt4A1PWp3FcE~F(@9CtFlpXR)%zHX1BxQ%ZLi0{c3Q5@^uiWyGlpXSl&O0$_N>X;n zE4@4JjOsgh}nzBckDneOR;>+)$(nB{yNQH(Z6>Y7O<4 zuG>N_?_;~eQDdlkQgkq^@3f0uy6xNO@wuRxl6}JjsSToIfOq0tv%gnn(Q^IqzQZdi(+IZpV z6blXWx3kU>PT=a-c`5}ssmmZ2+^}kLxw+vK3Iw?TXKuBvKam1KF2KQDQ&*orfgl&) zc&*|c(Yj2yy|=#rojv$rK230Zzjjbmk-q1i1i5X6=0WL<$7C0B2w|J$5Jsf?R-uvKDl> zK#&V?_SOD3PoRb%7d$?ATzTbK7YK3zPP^Kr*#&}J;D=thK+rWEHt5#+wPI6YLRfop zu@ojBQ0&&`NKMU-<(irze;FszSeo9BQ-FdL!dW+VM-C~FgK&0`J&!{QajO&TSfyAZQ%1U;5RO}lr89+aHkc`Usw{*l<>{C7gF&v9W#N=&byv-!p>j3E~8&SeR1?XNFnf=T{hHXMe?VoieWLJu{rt z6pMJu;M_12_t{U`1KY7pv9za*bp3&GoKr0MDTFhhOxYnE^AxQ>3Q5@^9RCz8LJCRQ zAsh=8twRb)*&!Sk6)i;yN!cM~w_G-qa^Q&eJHv`SR_!{h_{-Yx>a1dqt^6Rzf9_nY z9*a3(m*RGz$0>E&WM^X@x{HZn%r|a2FU;MgxOXqAb;4@K>H^-o>_p7JnL>k3Vk8xo z)!C7yI}a~5XJ=y`Jlq(VZ#x^4%#ub!cE8RR3F_3uu+ot1kjz&R&>@GR=LieLLoz!N z&>@E5Yy@CtH!b{OxCH?nQW#!BAf83I&8`-Rrw;CmKs*!kOayeMV7L*1cqZmr3xsLA z6&qNc-dR42w~gaKXYX%&OhOV*!pvTcQCz0q&CKoeyB37H-HJm?d-t1x!+;6tj7wg} zgGu==VPaRjvLHOYTXD~LDrTy|#z7deyZxxnxui6HCZrLrgf#sQBDoMKtl7QTJ)VL| zU6G#hyU{74neIxg;b7E(#hn zs~nf-DF+aV$71qX|FwtRud)QCF|Szjw|8hCY0s)WLTSuASRWK7jkF$(G7Y6MH!s}v}A@j7O^wIi(^rJR;R{`8G|@jy%plmmGkvnFrgCo_umVbY$( zt>V#`DehnEf<5gXl~E~;Ihac*@7mLzUZ-7B8nZN$Uk6=fH%dh!LsP|OiXx8Yz7I>*rPyDn0Z98v}7lHpgZ>}*7xEGnUu#9GNBk=-V3kXs>WE# z;vs`{#60;1PsgO11iX&t4o)xGH{E}4`=*MaG#*5F?{6$W%-Y-Dh+-&>Cllh0lx{h- z1LtX{0$%Gt%<$gc_DvO_ARbCcZ5@x93V5w!F#W@)kOYL{iH1lie0Lvv4T_;O9+J6s zskNzI-lsUEFe()GE$$nS$0UU6Z1nk;`tKZie<>?F5)g_<81jybeoSU6;5DkVKBXUc zN;)Sq74SM9dB{6H?)&y-zu4EZs-lU+gAj@Aw4XhcVknI#BT{-Sq>7<59+gPx8b}pG zX*@fT(!u8ywG3F%MB<5xz4o_{rT_);bj7v5wG8p_{+1yWLuov25yO|=JST>!`v$+8Zlylv*M+8$ork#zftU@ya)1S5u3KP%FD0zRm~cGnam46iYdi|`A!x^3 zw-JGuaZ01CmYQti75!3WUdQtvxte_a&x&>wCgam$8W}!C%x+UGOB%iAbyf_5r}W)b_)#D zUGr&l`X#S%`enFkL0unPvT|_w%?}rZ#&WT%0WQ?I%jS|-Y;S^pm#t;uXC59C>JP+k z+0Yyo=I)IE{F_*UdpZK}V`9ba&k=yX5-V$;LjZnBtf4J@qd0VcT*tf(9ekIVqGmxY}TzBa}J@X}#<^-&18Q*KuH(U{_%J#q8duBSGHYB%gd zsBu#R0b#_p#s1-)F;?E;;EtOb_z9Pj6Dd5~aZ>}4i8TElBC)*McILJb)wrL5AR43a z*Mo}(a9lNReJawWH(VIAzdj@1eEhy#YkmG$s~+@SDUBbTmSn|Pvore8l*SxD>0cn# zm!~vl39PRUP2j^Ly~S(?`| zuUk8-cUXFe-L&#}O8OgHcS+0^%K1cMk>LGoKE&RMiUOsvj8OXi5k)Lv`?a}!XJNH> z%fOFkHgs0EG@yWLI4CeI8)J*qs&Od`CpU_oIqy1n2<8N;`PqRJ>C@BMmlORqc=qLF z7r^ru3nE;F*w+AFK%IH%n-0U#h%HztP=HCnO^J;SC{Ta`KV488ufBVzy$Hon3O_0K zG%&UP;l~rrV#wzhR$Cwp|6zQ$0;vQCEUakfM7ui$D1iGm+tgs-B>OGJPzn!l+|(ef zxjd$_=!|<-Xyv`1Ej5``AmINHqaYuuAv}P*cHC)>;!fPkl4JtqZ9OH32gHqe& zgF9{MK`P)iJnS&kR0!C6QpHdTKYzBb!3C4;4Jd|E3>Cn(Guy3$ zR56rdw1Jx&ppn=##iWX%6ayJ?TZ7VyYx{J@QYZl-jD?_zc<+Dk{^G6%iY0-u6zytY zE7B)mD!DRkr{%S9tCd_GNO@FvZHncGm_vFUW`X$S4?L_mwLX6BUqTW;?w=8epRvc` z7KmSLe+1%}nTbIB8p{!gZ~X-X;%nUMn?)WYiI=wLH%$=V(W&3G8;Gyu8A#%ze_?@= zo{~?z{{y``n7HpR zkw1voxaWar8tzqJJ+gRh6I~_ddkasTi~_cuIUdZ}ka-T9y4lyW`Si9>b~5@&UFc?8 zBu+--HQ+GsHCyr{$3l*fCjCe7U)1*|lH%}Hgf&;&jmuL(LLQ8i_C)@5isciL;j>e0 zK8PF=L{A$z11F>LIzsRQhKb)bwdlH-{#{d(r-JCE(`J<83f2hO+SlHLs?jNa^pY9q zz4AtD!3& z2z8I&VJ513UPlPaVcV+FDQ<3+@Y=;itZAK8?t9C8>dDu_>*!yZep<1V`N=M~fGua8 zRKDzL|0OwIs1coMTpliu2?Z$>oh^JDFP~;_Bl=d}LfUerQ0>0)bjI*HLR_bK!0F}$ zj!u_Jr< z-RSV?b*Aac6GUDfB3?%bx&u-{s_^cjv%`o>?Ahf7qNYWbE@*EMZ{rfrPxS%RRSPL7jnqeP1`k0nOO)e#>m9F_- zIj&`mkgb00-ByiG@%zSqKXhGc&nw3h)3C^LT-X}jRmf+(s?pI;b?Qv}eYvHm+pTzR zIj(Mv5EsS1wr8LKLLKE;TiyICwjV zjDM1Y5;4OWMxu@~LgkyQxMg~F9-HW0O6=2R)2 zjcj29VXv3sLRq@?m~eFE@waE4ZTGGiO5p$u(;l;*O=HIl>BuUA=zHn1AITgsZ{Qat zVLI~i@jANyY(ZjcyNzzyxJQnOP8{CVUgy|{h%twpV@WGOwc=%i%Wy5CCC)QyeI9E)7X@b?kqm?osEOaanWoP?0v4?gaS!2AxI2H ztVBtIm9|8&QcEqZ$m0=Ik`P5h5>zx8QBhH`q7p+qSA&KqCRmY+ii!~x6I84ysDy_G zl~$^#B%-3yN-MTliJ^YfpnhxZHS65{-aY-}?YGa&UVF`)A9H5*%$b{w9RG^4Bdl3o zB2NAwvE?AJ=e>G--TXUT7FYy5M~^8fwPokT8uZw5>aV}?=vnA$hJ_<+xDziPQw}17 zM%v3Dr~dxYkFH+=j>pAh;xAV8ar>L6&02BMt(^zq47=vUK{)%@S3IhI2{>{W-&|jL z17J?M?gqfhLBL9QHFSqmSyAkf^K?$3^%yx27-s{4Pi}4V^q4w(H+`3bfH55C_d;%N zI~M^qrli!CvFm~7Fe0^B?D+FH^<8mBSxx%#&J8z~a3A&>3$qgLEBeT9$)oD_*G7b$pECsLJpHD=2^TBu_Qn9;yl7P2?iQ!qga&b!o6sF7 zmYut90;l3}+Qr~`>qoIe9xiMY-BCs4)Hr9O0@je?^i8NwvFx1pX82a9PG3xZ>HDMV zHrYneIY$_-d=HY%5q|63e?7Vy3h3$6%o3A}@)cw5S#xoT`&OOrla)a}fALuYbb%r6KYva7cRzVZ-R{~bt{Gx^qa&8gjc2|?7~_HdQuT9}kLDas zuoZW)HOPhCKW;@BEHfh8q24@A?09Zr%FYD6j`=Y%%Y==qm>R~zAmeRR&q z00as%z&l8QZCWM@KTK9?s|C6D1go-Z$%jx%k+oPC*FNt-T2xl%KxUf;<^>f z&btU>bkiSMpF3?#-R9aTU)a`9?7aP!A*iFvn7r*ym zY-e@384dN<;w2;MTmamI<`EAI`$#V4oiE;uGBB$8qOu9u=Pp0L?tR-RMtP%G;RY|3 zoeNh1#`vkfbRW_8@VbGu5j)E$?|J|MYD*gXJKwdw%}s0}kLQ&Rg~% zLx6Skn37Ukb`E?6J(QgKs1N@+zHZQKL9t1 zzNPQa;>#Uxm5{9!JT6-$l!GWiHc}v2TP1WB-h%tvDX-yILFFJ|58U);0}kgX!dx~a zL!{R+XT3OzWn6%Zi)pxc@5ldiz;6_V9dFe2Z9mJSh>~2Jt2>`lANi4q3>=4r!j(7i zZ8o-Z>uPjRobrLyD1JEz)0oHk&PIC_l{+qAHEZXcgimb zD+d89@!!xHW3IO+D+fJBjL&;}vd#?bkuahpJbO*w1b#N)mq`f`hXV$w`3{KclxXmf zjGwPTxr$X}WFtnkxjR{0!fEe9b)0g=y8tT(0V{J)p)>x?O+n&b|KPiDuRG;4gq4FZ zZa!_iobn{rD^u)T;0ANkt+s;u~xQVUj}Sn=nOb>{vZ}jF%FD{wmn7#EF;E&v0Apr zsDNd}s4te<_81kgj2H;Ua@iiE0+tbDxL9l3V^qL0VyG5tZF`IgSVoM=Vy$hDQ31<{ z!B?!c?J+7~88NnswYEJ*1uP?mP_eYT$Ebj1#DFOliT4;4u#6ZU#cJ~&qXL!@pJgV6%6;*X4S5E+a&OFK7IueTuxc6@{^~v@T}O;>;lYp*Lu=jVt!q@kGGg?u`{Z_w3Rp%A z6n5Kyu2BKYh>^%{Ytl6;;0_ksMUb=GEOl)PR*o1g?Y4VeBSuTP{-2B9F4VUMJ!eVS z&b;gKOWcg}qN(k%Vf?mkWEn$7Yu#g1z$y`=)oAd0j0#vr42k1&jvk`|)}|-=w4=wS zVB@C4Z^*>=AH}GD#|0nmyX+h9`RAXG+OgqfXI*~gju*c4tjT9|o_GALQJs0OIBVpN zlP^E($agzyUNmA~XVn12;Y&w+qx0fhpg*f_R zX1^TS`(MWF))EJwz8x}Sd|(jNZ~XkY$&q#e8khY#5V zZR3eANO=fR^Soi^mRcj#DeGcOI zMu=VSgjl%)V*3{$X77YJ{&|Rln;=ep6k_8?AjaOtLzZLJ$9VV&i1}ZK*t#C#^qmk# z-wUzylMsh#yk;xJ@_&Mu`!0y-|IEV<#QaY|^s(eyKLfG(c8G=BA@=_x#L~486Irz7 z+aP9t7~=T1P_L=)X1yN!dDLqnjysFL3^ADnTzO!`H#<+s}@&ypH znKAw;5Ig@4;>6!VEGBlvREX7=K#Zk!4# zlFxZH#3{0^x&n5Sr$F2AHxP%f#Nn1XaPwb7TgF07=ZW0Hv)+Cc z#N_KCR$K|O>Ub94>6kM9?!Cjwf(C5ZhVtl{|;r zS?5E%5>r_5B@52px1JY!+9HSrmxJ~oi+2=G*7M?SWcpN|_x5~322(yig$F`IYCDOTXfY>0)= zqs9u1VL2DQ0*Jl^&?eD%98DIpXnT0KZQv<9$+C=j4a7JW=okyRiefs8(f3-2lRUxu zsqMT9{-%&^ChwCaOk2&9G?8Kt$ybtNIsENd_`0+4GCf4sb6*0n_t^mNV!dX+5!&1i zw9)T|IQn6Taa$mct%o@EPKdRiqPP)aYz49H%@9Xez;(<${Z@#1w?Q1FgFR~?*4>TM z{w64MZh;uH8sg~NA!fEAmaTv|w0-30^()U_(3y4v)87Zt_YR09Ul=*MGw+kgSp6;> zF5U{U=w^tGwA->4VlMeteFkFlKSIoZ8^j70Y%9q|(|em_b3O-G({6;TRjkS(mSW^v zAjW+e8FOw$#$uN7a8#;;)3AgkSo(g5DXiH-R%JiaCfo#Z@J@(*L`-DSj#F&d4zZn; z-@6fF(kh6ZAA*?8k{%;$8PCd^FHkUd-X4hUpMaRW4q`b=wV9{o@CJwjEZ+)hr#}F( zU?obm`F#*enX&0sh|w&@2A=e3cR{Qo$GF>ZzIm!9eGuX_3$+qSo$hHv0hEq*Uf)$xzxaLF!+K8n>mT=7|m z@jTc2-UG3dS7O~}h=aTco9=*E7Nuof4)DBg`6R^1pTgH^ko0L(JKP)3S)#WY%cT7a_LuOB5f081oU>&i*R2<1ET* zihcK^pp$l@pj()+l;>+6FYJDvpp(41Bbhdncj>sO22a{b7GV09A@+Y1ViUDfJZV!X z`j|F>H}|ejLoA>;!OJ#=W!cI~9%JrNUZ=I>SV_-|S%oFUE~nkHdr;hE{{!vt&mbo3 zgE;W-5L5pJV*3vu_I?Xu=K+Yr{|qtt>k!9ixB3vo3Z^Zh$%$`6tf3f9e-r){V*Ga? z7Jm(5<6ekWg!TP5#G#9DN=APTr)1kd;c(r3IJsj+qKrSp(OCLCNuEh`H}jhi^I3p> z^t9^;#M+-itRlrpYMZ}_YHa;3vd9LF=b@&Wi8 z_W-m#--npUIvuB2cmf$ySf_Qrg4p*Hh+QaeXYRj2w7-Mg({ToQE>B&Bi*(|TMlR@# zA^9d0XvfCioQ=NVP8NR+%X@++Y1(g~oje8b_J`nb)o-C~dl2Fv&+?`b5YvANlfJW| zZ9ETR32%a>EcqHbn8;gc`>!Duvb^)C9boP$UZD+tfVStHk&EBE7e}Z60LK$Y;Y4i3 zW!|y#%#k?JD|w#AQB3$PjK`b>Z8-~lh}!1gL0iVMOlMiP@C43e+9sB2$=M@c()ru- zM!vXnisxh@h&uauW*760oJ#T~Je>S69B%D{I6ewuA9I(}{jnF0d}!yIzLD2-=ArsK z);?_H+-G$*y#hINS3t~s5yZ+nkTdngBXRO3zaGa+J_s@P28f+6MO6;m2(A4xXs1^~ zo3jMk_SZmL{&I-T^suvnm`<-_-U=~t9mJXr4?h60aSg<_J3%t>)g&3W49AmR0>lTRsXg?PCy| zZiZOQDjcTW!Oakx--AjoUB^lKn($X#c44nXZpIRLSC55ziZ6TS*D=070j-UBi2AjFn?AvXOS;`o0-jAeNi?u0ma zAH>YBL7ZesrhbEW-S*=+KKvUT@7*_Y!I_;EKZZK~o6JI&7N=o9#BvmO$MkP8aq1Bq zPx?0q^l3+T4t*QV@yJs+JjL=X`xV3nnyjJ8p6@`c{w~CFmT}(qAlCf?;@C-u*(~Tb zmU|)#df-zKn_0YNEa=FuLu~v$F2X*Zm}%dKILx|CM&Ub4zmALzJj=UqmOICO1Tpu2 zAjYEnox?vy?pBt3^G_g_^2{CLDc|zn5GQ&1rtE+?eFSz3z5;C_OS+5NL>6~GuGjke zp^WF1S^H~<$rQUDfH=xDbfQofI+^qD5XXtyO6?G9dW_d)IQ_7JMOL1*q^ct-DUbZ5eE zaAo)KzS;c$AU4wJ)<>R$d;5Q3vUVc0qkjys{|tzAXF}{ejaTBPa~8jMIu6!62>X){ zfp+X%hr#BxYWg{Wx1KAlf4%z`0d;ISprqJcy-$L8=P-sgY1+j>S z6EB3e;yj3%V<1-dorCi;0@|(_5cB>9V*Vo_PLOE9pF$f=jy{(2^#3C5AP@JAf>^?| zwJhWzlFud4eiDtP`-y!JiN+2{}sf0J}w#p)LF)h*La4r%ADwX{&ge_l$>FN8^Rmj`LKlrkL~lkxM!go_Nlp&e130 za5K-`)+rFF&b)plN|Wsd>TQr2|pY=}dD3DL(&PWuyx3H=Zoscm3&7ydPB z@K`8g2Otg*HJNps{kIS^XF(kNJBSS|=$5k~mhyy*;Tc%;aA>=Dh4xaLfOF9~F&1JH z#oRxKSjDtSPk`9NI!|CF*YL2-YRtO`1wG0OaRk*^&--rjQz6E%#M7SyahQcVH5FnB zD=>xHVwQFtwd1^Kt0}hr9!^HHpgVc*Y-YK)um&S9g;xKTgB~-v4WO>Q+CC+S8FX`#I1SJ`>{5 ziy>w{A7aJxAjVwIw9C(VN$0&+p7Y|)lq+$#hjx>%fmkvZV$uqTd2fX{ItOR>YA74$ zLma#csMlW()TS$NIO*xMco9S!W}QAlH!X(P`3#8d^C0HF6Jk#rV(JSZ#?yH8RS*+i z3vr6v^JzQ{?su%chUa-YT~A~^=F!8HmqJW^1H`(QqFUpg4Q(vzG55I;vtJ3ZY$?RF zw?pi_0VdmD&cjzhOneJO-nTm-R=)mg@~eBwG(_83p~mQ@f7mO-q%8DbZ;*-YEO>vEcP*w5lG zp;&}EbWX7Jqj_rQ-vDu#=llo@zLGU+zX@V8t8j8PIM%QV6W)Q_*t&!QOL5uU~c!2K2l^7QPH(C5pYCXL=rQjg7ohjxT|jLzkQR zWX%5uh();gJEq>wOE-o^T*uOFeiy_{ilr>iiZviR&2x6(Hi&U7z@isIjDHU@wtNuU z#P@^kyHHkcgc!X8V(%v*mUJMte*j`0#S!L?{TRfl4G=q7f=%loHhvgl`ez^xehA{& zE{J*m0IJNh1o3EDa&E1U0@yO@tc_nLh;1du_DVDKjqgk4fJlyJxX*(hIv6wqq+xAw7 zJv1!*m&X{lD?66cDS-$Zs)uw$A(Wi5IYwAh#X6Qfa57F;EBT!3wff}P+M>iVhJG!S?l>fhS>X6h(#3JdE>17 z7Q{|wY(50B8YS<{<;^sYg*?fk&150heHNExIm*{rMpuWZZTt?z0g@lP7h)_=%s!s1 zHqXQg9!_L^r_pXLPs|pcjpO7P%L_S<90o5XXr)$`jV6*uc{?au3Kyl4vTc zK7nkzShtgOI_VyW@uWOLe|vbIPZPF^c3XdX&c5~k1!dgNAx>U23Mbq1lpAehxhnV*t5OaPCG393vlaE8JI}LG?+LTAY!G!;YHu3im z`~MAM#R-V96mx$Cr!P1Pr>p-Rhlh@!3p@^hP5+9c<4iip0&FM6J{IrL`8YiK|DYXV zLHp=#$%7Dk{|p(cCX8CrS^f)j)t19kXWOrE@kjoe7k?ssZDg4j)6K>|f^~b$C_Hfg z!|c5$VY%}+PaIOX&C%YcYjlKPgrQBehMxg;>jzwC9f@j$8mS?+-{j#)=+3 zan8Qa9~m|IjQ6fY`XadQoPGde8LP5^+EFlfrm+lj9tJT!4#$sLyuNP~p6UxxthHy2 z!q~Tc33B_!LM;3pV5fL4SDg*9hlf-D6xuqT^J5dBEq^@3(un|X7(MDF=J!Y8cplH@ znukKn=2hGEONisV5Yu^vw>}JfQ(2J_gzvvK+kxCdZkv4`-<}hE-nnXryg^ zEX3?b;qU;?Kj`@a4g*)J_l*L=y_e-lRRhJ==m6HGL}W3%d+fbskifL z;x&nA|0SsG(uV-Mn!KaepE-KR(Z`Qkbw>5n&8@rd`f1hAef9Z+g7`@ztcu^XM?V%g zDOR~Sol7rUt&C{0%4q4&x~p~fmY-IGM4~C9@2-uDbu=kN$e_yb*sLXNx~C%3mX* zGyZ0%f4<1+y@&>@Y6x8AT5|Tx!Sz>M5~qtleTq#}!itWuJxbKXdN0B`q|a#UD%O41 zT{mO*y*CV2JYD?FLF_CNB>a&=>>&~)P9F*(qREOyak`52h-<&O`~Lf15oe1(49Xv- zVh(@kv;1OMwZ|DE$&h4LTV=RNet7>Y25-Lhn=@D}{va-YXo)%eU0wg4lJ#Ch;|YNHK@EjW?Zgx=0eD-DH)~;<)q&D+izVgbBOJ!JkyFxGNE}^c%@qj%&Ra;vCZJ z7ny~&u44VkyfgdneeObD_cnh!lD{=ZU;K$k|E5?;uk>8D5~S5&HUzF>edylT_dn$a zx5ahm4}JP)vG~iN{PinZSy!<#!Z{VqGTgdI@Vxhz=e^Beoa8gk9R9GRe~HZLB1wo= zlT}7TulBTBxP$v~2gfzh4?=3CwtfWi`9Wx1BpKlxI?ib8OmDS5{`2wuZ+yn(ac}YW zHGOYY{6$XR!Ojp#hBR5xvC~Cz`iT>EPx`@1UiTJ%6_-EL#~l8`t$%sK>EbwDB}kK5 zhKuBryY}4u*dM<+NQ_`ken~l9BpET>s$#h^TqGYqbldLF;Ra((`1`{A=|bl4M}z$f zg-$PLDiPJ?=nxQ~6S!J|H9&z6dgFk%kb@7z& z2hIIt@Il5;xD8Zv?1Ns2b7+;})>SM#Sc85P`J3l_6zMBlSrC1BpKlx`pW3a2O_z7*Hwdaes-R&JAMeAKN3o3{PA=D7NB*JWQ23* zi@$env~_V@v+Ps5ulez^!ML|7++5sdkIwkM!yaMLBJ$?)_Is^Xg0!2gGFrtd9hD&Q zn}IpGcfj<@FP2s-LE6L3XqDk2`Te-XgKvKAv1qvTTl8wI^aJxRh>BS6MMO?@t;{mq z`jAT!HPLU-Ul&E;NyUzHI$K2-Nx39C!O1G4t;hY}YL&+%YQj5$+hWogZ%uE4XnW+!E^p;&)vN4>{W8N&Q=AI{PMIek_>SUoz-xlt&61e>-xdbW@pSz zFuQVYxSUQz)oLY3^aO{Su_%VCSa`7d(TRvhk-yf>hJ?=eTi<*XX=Po-$_VGsYD1Oa zb|n%t!81w|+|Km;?Q8#%tkXr35UnOF`gOWEKDn#f^VIS(Y4dl!J^JC#dHap!bdlg? zVnnOSijJKwlF}oH+mSs2cHx=Be#7Jn4;CFeLnIjzX2Yy%czG;aEsPoZJ!aq!T64^h z{Sq;hLx@Vl3}?|S!>u#D)xz`M@8{j!4wC36tzQtevaX6`gmdVtMpuCQKqPp2`_Tl) z>Cz8sUl%puFL3jDr{FPDd%^{Y1^O;&X5bdjLnJczD#AUIs(Zyz%! zcjcTuiT8i>&TB}MS%!GdgyLNH8cHEaSMAyPM28 z>)!Xon2wH}E|QFBvdZY-s9Ix|9ozl<3l>LB3}IG|3piaQ8PQ~w(LvH0KzHkF%RUt^ zED!jZbN$Xry;VAPce5Ab99m_#brq|;tRn#B?k0WZ2$FSi08z0^FeGM0XBlo?ByW4} z!og2qc+IE8-Ay`UXUe}rZCxZ8;T-xBx|$aErd^4o^y}hu8A4|{CSqMA8Q~oI%4qB2 z_;(COFZlU*1s)lj;%|1-S?(-b7fFFc-JL^c8Esu8C6X2hc4-~1>ssOd_kl<<#5r`9 z;nqb`M!kc)Bpi5h$65MfljKO6(|ZtAyU8rWRjhK)2MOGK!|opXf|328T3 zWwc1}`r+_BNchfha5ImplwRrWg(kQQY4@6GfaY|Ol-Ey?_#!gLggiq|*V#&lcCk|Y z%Vrrak`lWJk{s{OHP|9{Gu* z$`EJKS%zB|NqLz>P55Tu&r;Bti#5E6Ex&$-)SXs9GyW^MU5SLV8UKrE6P_+lO^_sy z_N=QW8R4ApmFGOtBu7l-JhduwKW)$C(Am%t52s}`403URWGWa1MZVXAG2c0JO5M^J_%K z^Xn{{Ww>>b;JWv_6JZ3M<%qF$kz|B(h83l%%Ow&#Sp9e{_OnTKcCayaR%+Vghb+odsiev})}-zE4?;M0V_cYyoi37uXf;`7v?_uTKtJY}f`g%c4ooU$x^hMS!J|H z%I!GFHifq%--`4V_dj2YG~;L6p;7GvaiSWQ3QTFvnI#S6p(UgA%t15(Z&7E6N@xzA+1%ztEvv*Fr7+x=0ct7O8OlgE_XMU!$qx`j-w= zTz9_tJ*mWgj+fq87fD7qht4wEx++qZ9>jHb^q9UrRF$TZW^U_5k|EBaRfby^Ntt8` z61R2qmBZ53#c}Bms+h?Mh_mP{!>x;io%8O%B>M1if^!>cT_hRdobbgFKvl2Ik}HuQ ztiS~%aY=G0I=6^U7fD7mS<$c4#i5Cu>dirtn;SO~bnJAIl)0ZkG+EKH(?wF2vGudz z;xL^X<|>B`*XplC{MQ*G$q=<%FhfynmEqE{An&Odl-0$0;`wtD$(%s5SWFj37g4cf zEXz={E{R>S`NcRc4M>*;i6WXW569Mp&IsoOeGEc{ZdK(#-(L^jcb1p%RsZl+?%B;OhReP0HY0rA%e&RekS42)7D<{*Zz&A_ z?BG!3@T#a(q*q^gcDW`A64UQbett586&)Kck{lmVDEftAr2y>0@N2ynp`1!)8E#!C zc)>N_-2LcZch~T7PgFW%h|araGbrc1Qd_NzXtK)aX#eW~NxV!HM!6wK^uE(h_SRJs zbRO%7zH?aMjJB?dd;&{59-fwVtXkIw2jX9f`c!ectcxT=oI_`fYzeM#HFc4c38r`y zH7j&keYXDMmdnG+>4B(v)^-dx^XX!`iiH;`*YrfAt>r5(i5&I<&L92aAhF(ya1QC0 zti$}rxBL^%hOwqvvu@7;;mLf#H)9>RYt2=h=*473?2ib+y|Xm zjE5TTbQNp(oZoP(j220Whei|3xThY2#akb9Ss_V?m@yf1k8*4kCp*?o%2TUVo~t-pe16a&se(SA zqLNWa5+r6bc!F6+TamR=WS4A(DH)f7|Zwq{YW{%$)otG(sd9(PWj;;wYhu{)+v7#xD4y zG0e(uDW{9X5F(naGFl{vi}8boxFqg3Gbj12hIG4Itqe(KwN-|T1h?am^&@-}GADOR z{fbjXGNNiS%W#pDE?0C={rX`}ey>^YML37_84bO{@>0F;TCLJV#69m9DV?!Z@DEj4 z7fD7qha?$oU3KT648!-}Afoc)r%A@8@w{6XNrpIw&P+JGh%u4Fb-{7AGV zBqN+dUm0y3>74)IH$My^^TG1Klg@Hi!@5W^!Z{?#XzQv-3RT9#%N_xJReZ=Em^Cl1 zN$yb8i_!*Bs)%k5w<6qV6{|eFL`0iH1amwBW>Jh#vCgi#-#oRO%raag<>?I)KD-yc z>WU!YGtQS69Xnkl2@xw{vQ;%4E|Rq5B-(iozOrMs^B%1AE>fXi(%XuDjTT3&ovBKc z%cW;l?#nq{H5tC##yvh;Wwc1rl9N{MRl1WF_rEhlk|424#XUY-(XrtoDPM%fC2=%~ zIqsy%Z#T+Re?uDcAMGZy3>QgxdV_>d@1!lyi|d{{X4ZQV&S6n9y7Ga_Sk|${(3($a z-`0hCObX#}c-?RXBKyi{>*6RY%%UPMtYYQc)j{Iu4$Z8qCK=)!TJhO$pDVO=k(BsI z6pQ1c3yv%b4mL?I7<^&en$#;Eh$KU@S%zB|NttBnXA9v_C4Dj2=${62dJiHda*kC9 zh$>3~(F|9ya69r#)gW>3fjL}0?B7jux;!OBtH~;(E0JKB;Z^-@m!3H}!r^q0Bt)yp zDx<~0CO%KUM~{p1AGr_D=|lg1U-X6yiRpLu^le4QMvG+lvWxFudsCcWF1vW}svF}{ zTW3X3{|s>!ooRkoaO)x|bsuCq&$@fmn}f_wA9A(=F~o}Vf5YJ-Df1uk>ec**;((g- zP+Y*eNHW4X^p(-p#qq=YtN8RXNL+V1({!|gkk&=wg+r{nbLcFit&5}tBBJgdT{0&g z*a}ZC9sB9+g*b;+8E#$0D)Etc-r2=u9FV^918ZFzLR7a6X(H$>!>x;?#D)UlF)W?2 zf6FK$t*nbABb-C48tw0&ez{VE<_I6pC;{g-r=1yOrZWyH9b|U8iiLo)5v?Yxj8?HQ zUYem1R@I7+iyV05oVUkux#?)Nx{y|@$%>AhE)qnK`yFuRY@nmWT2GYY_AyHN1C z)yj};mf_Y#Qij%d^LFG2nt=$O6^@O0PHAObXDcKA^9@zkEW@pfq%1XxJ6LOX)Opl` zBn6$EE|QFBvZCKeuSzVdUIi2+Y~S2@%#NKd67*^7Zc$C_|Gk@l_19@S>~xW!KW{{<$tt6Rq=jI6e+kdGGyP*ehVP#olXr$l5+s(_4Y#6W-dv9K z*EeuKp2T*}@ynWioh}aCz!mRcLSp5c-~2WsiQ%eAS(p_Fw-9ueU(nV?k`d0KuYy+7 zV^>2+;^rcRU2Qs}mBXplhmZuqIrNp$*2Pg?)>XVr__p@U72nYAty&jJfds-ibe7T9 zMN*cW1VT$r^pfgYVgAntBFPZv&{>9C7YQe=yEEfl#-J!lZbPk$BO$7~)edKQZadF; zdw+~L!MssD2f+K^<5{PRWcZ4R;a22(@Nu~RnW37L9%_)}HZ3>1P8Uf=G+EKF)5S4t zQCbzP6|?Fl5jUEfA(3QAlT}8Gq>KQfB5qHaqm@6JK~Q?-*^CV7HB$@jbdi+#&LGJ- zx##P2RU{*dl^#Ev(XrtoiA9p-%Qbg5=`8nSt&1choI_t3ZN1EYlzV;#n<3uw4oPZ7 zkmmoaizFkQLz0ZPu8Ne!Nx@+Rd{uQspJtBeizGvwL#qU@b&(+0KBI)^+q_d8Ip_W4 z#R^UrN0lJ0CNnyAx=70EuYR5_uKw~*#pjNhb&+I*bLb1`s)pN@NXmlyxVf0aQ9hly zb3!ZYBFPBn6p|WkPvYQO_{vjdZnf!*Wz_VT*0g!rtko*Z=c8EJDx*6q?@>O&g#kCrK9%7LSzyBEW`k@sc zCPz`Mi=;e?fp88B?3Wj;s`@}RDZ|Sl5IWF#P7t>y#mq1Zk7LyLsIZcv{BH zITn8ep%6aqO;#+5(^ahfSATQ&w6gH+jK`l}Z(eukGv~+mCh%MBafV1TB$?G# zbnJAI7YW`9oE>JP-EOiXiPKg0-yK>xIBTjluY3IY z=XN)*J22_o*s_O?t@lElLo34l#fWtktMr@s!fOBK>PMk_Jb8e=)_nI-^{!kcT_5kZ z+&L$t)nGORu40v;%nTx?-+mDWJTv1N|Im($>Mgpgt5_M~9K5_X?a6TKBEj?CU!M2& zlP(!w?-B9N+sD_R{5f4D3DIh@qF<*&=j3I6{Y-t~Me(f5dzN7kGfkINk%w_ zjx*Z2Dv|>|n|3|AzPGAbmp{6`gPkFg3~92WW2cKGuOE5(ys`DpNz;Eiw%!WJ>Eal& zd0mqk9Xnkl*M51=-IL1ZbvY-$q?|62j2LcJZ(ipNk$ev8NA4@@M@CG0c=+7xeI$0ov?*Rdh-=5`=se`x)Mr1f4zRgLm_)yscf`-j=5@R^y?LE=kz|B(NRrXkRgp`6{N}+k(RlHauvb}cUYB2<)14~{lFW8S=uT{$;gPA8&jwGyP&WX7U6UB$wK)sId@Jc?7ke^E3f zbawAAFN#NzR@POljBpOErc?=TS0X`!I-@kf?M(mMZ;XrPn2wz;l7whAS<$c4#c}PI zv3Xs2nY8a(`^WX@XYYhZ)^9AQiv%wdBU(*XbnJAIlpaCcj(qcb^E&n$>dot%A(9LU zv$A=et?1b4BFUkPOMf*!#tih85!S^aMA^K~S#*}+)munwu#!GmWwdpXU??*Kqo1hA9}Zqn?>97Q-UW3t|A(q%h$KUXm^Hvf zl4l8XXN-Ml?>FRhab(1r?^eBLbZodt%7Ya(;RECs79T%vUgva?WJHq{{YH9s^Exy` zyD=i|XY=R|6wPvn(di<|h$gFyRz=FIH#jtkESuNmzML{1R{{nZ(qu))P8SLK&Gk-n z9Ikouy4;m>x+gCaq{)nqoi36x@{5}AJ?_oxYR9;lovyQ$5nU_HyV#75ogtF4RxU_# zw{p~-4~sD!9Xnkl8PQ}#zfKR1s>R(f*-Y@d=d2tTaJon`qREPmovw{Nt61e_9qWSK-K4J^L9#9mAS!kV0^%$>%W&%=x$=1n2Nz&Y znP*~$xx>mUVeISwyaSokjxOI_~QSTrx z2?w6uypBzhH?MPg529)}nXxENSFy@HA0)iDy?Gs<3~zAZbde-PyUB`vN8MQoE|T)} z28kie;WO@+iPJ@r5bY+bj21@;@C652+V19cx%3{(h$KPUO;&X5bdlio!{K|7@SWk! z>++~d>GkGy3DWL0)8=(f7fE^j1WCSFCS>8Au8Jf?yI3jyWivW9ypSl`5hRX-Gbi6r zPA?=~M7zlW*Ga1QA++PaEW!nEjewOVX9>&@%(XwSMx zGQ>G_mf*E6lG4^iMcCYU^E!|AXk}d-Rfaf=W*Kf>B;{oiHQ}4VyU)2;w6ZRejBpOE zfbMQyXICQO+(f-cM46hPvpm|fE|QFJj(p`gkM1N#tA&Z2ym?)B#%Ab03URWGWc=I~O zK)rdLbydWOiua?l=q$sni=<3N28j`LmLta2MUoNDp|6Cl>I0GB!Rp6rv7g1_;LDrW zaa`kIly#A0gmdUCqpgdhj1_~U;>gdN*JU&7BFPZv&{;-X7fBiU#o6M>&z+NePNDx_ zo7ZKt47Vxb7@4M|<9t&blg+5zMJKugh@jB0&q$?=Dw%mWyItBpKlx`pW2{ z`~EMZGS;N+`42)kcJt*B4*w$7W^v90swb=FmpKzQ>yXVF=PTNeoiO#Kd_ z@(tk4>ljk>RHSv0WQ23*%jk&z`amS*o{!U&gKWGty@{FAMUoLsR`lz1ag>Xw&Fgrz zym=k3wKuPG`jG1$#cHymW2cLx+>U|ZZRgGFa;PTme@{9L-MlWFHNi!a7v$yHf5t$) zd7aZ$k&M_|HJH({;Ud9mkb3hvb}zb{*LBy7I9((ep+zeCSwvgO?;n4)@>-N3A8WQ4 zZ1LuGjEVTVJ6$9t(!&$nZn7eY(?wY3z2Yf}Sp#ogmwSKKdm+vty(b-LWnIN8_k2VZ z9BgM!?lW00^B)=FEIQ6`>nc`>hsOKelj$VML44~fRz^4n@mB>}IWI{wyAnycRr+~? zb5K3cU^rbQ2@#7_ym_6i=+|iKxc;RB#jDujo8Oa4?B{stjdhV^gmdUDqphnVW$8g& zcSn!uYkF0hN}9Q?6G?_RhgKPGT_k0aB}m-X(N_*jTNlUg4q@{;F9&fJon^Rnk+5^# z9hh{x?YRxLE|QFJj(h=KZ(f)B`Sog$xFk6gom)hwiv)p)db_(OD-t?gSA>_ucMWgYO`3~&Fi{|iY4nc>yk8XUKayW?GmT3rM{T5E;I+EUexa#Rgr^Gp>h3n zpp0^>M9ZaHrEFdocSnH~y%(XeqO3C7x{CDxmhj;(F3GpwX>34n@WsWD8UFjn3-rc+|L1OxSh}kf>ik0Id3@dmAtpc#xmCkxE zLOEsgx(v6jnmiP1_^wZD_`G=?Lv&sb&fuHBD{QqgqREOyaeB1>LpQHefJEPo>V2n= zR;{Zh=sXU3Cwb?vz!_~_6}b;fJ1$F0JG5sNK3-AOM_&?D(*rSd^SUN8pDyp8F!Y!9w0uRo&#@QaPk^keB6#)I+ub>b^amzk z{=>_a?MgLCFVcARde1k$JiTKaTSxB;G<5U2CMy=j=_(fDq1BK%KYv5Yc&JGj5A{dt zu~?x-G+EKH(+kP4^8eTIiZ16cB=61ZoGy}#XtIj7_IEW3`!&b&(`UtQYh5H5qMPBmtEZQg2?zyTjk%^A_~xbx!X=aO$VKd7aHzU&B>*M0)DY>v(4qGO{)f(Rd%@C6AQZGUaa3+k_Mte5$Z3~?5VlHt~M zf-znivX;x6*J-j=-{D(Vu`mR>5Q#{H?OlUl8kT;Niy2H>drx#H?Je2yLsJ^ zfmj-$@wcREh`y52$Jr(vUc`Dsr#M@hJJsfOn#9%Sbw$_xKNOP9GTgdqf)MqPl`!7C zE?ZgG*~$p#MEuKrdzx7nNf}~AOQS?+3B7YQD! zdb>O4kR+q6t0E~>84qv0c^w}zZ%d~xf%dr_5)X~&=5Q+(#b_0)JiPJh%{ejBEn9;) zUBw!*d0mqk9Xnkl<>?I)KBV4#j?cJ1(095>5+YW@WUG4fI>SYhmYlRaC}U1`>~xVN zL@ZMA=5@BpXmP~a8E;;f>2qJs=^`1v+{T;N*@}*h7D-xi(#pL`chchicZNt3Bvz@o z$7d@#He4hKC*AE|PjEDeIqsy%Z#T*mOAmy=`={Mxmf<2PPj6gzKE2+&E_ckV_adCb zqGWXC1C_C?V~Y?fpHhD>oUN>jBqN+dUm0y(9A$-BROIdoBNKl&%_r7TAL}B?5a-Yt zpM9gPi=@Oyq9Pm@c{>m`N#4AU`v0+cT{g>b>mn(WEdA&Zv>mEsff;P{nAzz)h*ns2 zH?QkPG!0kXaXa!$)gZ~?11=wST)^ofNr+aH75zHBDkR-i{q0PjBOFdIBwa+S$%=lR zE{-xw7!~1cV7+;rd*pQN43Q*AOuu^sU@JN{S|r1lU3l|4uBY(kb=Jv&`e%r<=uGpw z+Pu!XNOByUb@Zs0XUIWjrw=(>gX}vFvEuySaJWdy{71ZcHUFVFpyoWZd7X8UWQ23* zE2FK8W60)pt~;G+I$A+U>mu>OA=bn>be7T9MN$G0QFo6nnG+AJH?Q;4+Y50H=`-AV zU3Y$h7tcGpnBKfDKd{!tp@>S^yv|v4mf_Y#Qes0v;xR0pv488$>#U0;Bb-BDHJbB( zJ`f4Q$1_U6xy@;3Z(heCrGv~)??JShtXLGMt5_H>&Cm!7o7cH1U>4`RJ&tp_NV*Wr z5;j<6v`7#=?sveMvw_~cPU|Vu@x8i=Wf$JOE<>_ehFcd&8Cu`X+mRz^Z(hf-u{W=? zu8L&Dy}zuwW*Kf>BxR{l+`(GAqs{BIAW56oIb9?f(PTxxk>0#{9osi=UY8v^T_ot! z)|=NgS&_u)s!0B>O3T&C=5=gDy?LE7ym?)QG+EKH(?x=~7(ZN#v&B2bo7eGva$m~n z<@=8eX)>c@r;DVlX9|)WY~hpPi3X?ZY-L2(3M(ybM#s(&N!p68%@~k}*1U3#YCByd z2@#+154WOUqk|*9|L}UIc795;W2cJ*{dprIWaZemt?1ZjRV3|17pv=7EauF*yuyuE zeo)5c5=nx@^19(xf(tE@ay$0pNo@1B^BjO**7WOiaRAZXyspWNj-4)&vM`GzEw>PK zmS51;OGVQ1Md#30L96Mpt05$Da}nar>uBY0s`Vixfp89eWwdp1l$W(OujAX=Ggo{= zySHjxBn1+$ALr0nMq3w2S#lBxEjf8f#Fe{NnE&&ENHWAZbe7@PMZ!sI&vSbUn!a)y zYF!)&QPr)soN+;g!Yg*A^t`?y8qTumC+~BQXPqvRjA*i=-``w~@BbX}t@lcftC-ug z-0V7CB&CBIh$btNI9(MPwkWN;d0pKk;?%hr5=n+MS<$i6MN&opQLNlHwO7L12 zN!p37%{#)8bKXy0tl)HU4B5P{$&8MjE|RkPtDl#ItG~Q?UGA7!7fD7qhrWRBZeC|s zA}I^*oEjZnf!*W%TBC)*6q?@>O&gCBv5X-fWQ23*E1|3UKqO^&86<|#8Hbb(Mp+k0MmUGQGTOR0%5xPQRURDh z!SZSl>mtbz=g?V3TNg=*Dg=p(MPIoW0R8{kye^w%c+q$K~xWE zj>TU|9sAAK2A*^GWu+ot$A52LC%ucP48gvTwONK+SKZ4Bv#9$YU;LE;e+|3%IeP~9 z{Wz_h-iv6k(#I>#P`Prb^6fwQ%79nU(y=$Mv)+qv4(T)6x{Bp@bv(SI-u{^Zf8V)g z)3yPBa_jV=5I*irRxFCsRjk+IS6j!Hg>S$A{N4n9t3A#TNroh| z+A70E@&xP;aTfO8XR%&;+1>$uxW=3%yY>$7!!`P~-ixT5MY9aIu3~kt8sZ~Z6+x0m ze(df6e#%Q{tJduv;39WgIlTwbZn7%qD&Q&?+IVk8*P405-~d<5GlzGFH?MQLND`vm zWR=n4n1G*&{MHn0UU%n@zBW+#n~xWL`fCH;!ozwm#5trV+-U16*4wd?`2Ej~p9pP_ zoqyMWH?RA}^X?q*u3RPEo7W{stHCVJgVR;4A7Og`{fHCrlC1vMI|jUY-PhLMG2kt_ ztgBcV;T+umoAzY5b&-_N1)ul!#!r1Bo^j^<=aG-cvrorP7fC|2nyfM!Iwvo22lwL+ zj&^>-r5_#Ow?1@s`xibs;QgSiizFkQL&q6yo$2+q@Non0dHa_7-l|r<@{{!)>=7yaE-UG_%BFTs* zEBbZ1IHFDQ=5=f$ym=iP5ll00$C_oW-Fv%Y@MfWMHm-iwGFZ(f(-)`wh@s0mN7zqaH_^>;?rMN%$_PH?iy zXzS(Ut@4;e6U;lpo7eHy^yYQeMUoNDVSzK+x++pu8pS*VdzJO(b@}CKT_hRe96GDv zxT4lYk|u83?2NeyW>?M)m(z);hHhThWX7U6UB$wK)sId@Jc=KF_8rlX(AhN;?}$f{ zR@POljBpOEK3pZZU5Nw@>WtC^w=?~@%RU{=F&#TyBni=KvZ7z7i(~7Tuz6j1nY8cx z$v@VkpEvIQZ2iV^x=8RcF{0IEMaNDTN$C;9?Z_U1H?L#AVRBWrrE`WzG9=7~S<$i6 zMUq1oZ+gtfW6VHb_Dd`4;t-;2Ugs>DWw>>bL>9d!2K-)@fQomSRGk`d0KRgLE6 zbv_UYp5A^m!Ew5-`IpV{ywlma%RUy*JFTpXBqN+dtBkfT4m`a5cq`&@$NkUS&OKjt z3%z-rb&+%-t(J4>ETgT91Vfn_82v;=&b(&pfcG2v%ypltoB1=Vk|B}|8DiD|7YTX< z{T`U)&KUd3-fzh1;>d_!Jg@3CqhrHGQXZ_R2_GQ8u=x0S^E#)CBqN%v=r_`vH?PYb zrQBh3x=7I0)gQ7nS&_u)sz`Z{_Vd-N(Pi1ZF8Ae>;mzwZq{)hooh}meo9oT%I9&7Q zb-63&^oGsrn#}0f=^`m3zo-e{uV${n{ zDRYkBwJXMSbnNb?NHU_yDx-sA=;n2XFe}FeoGy~Q__)a`ql2V{C}RDon+N>NS#eRN z-YOltyV(nI4y`iWx{6g^*0J{3-A($+5hUy40HV8jowMjH!>x`Zxo80#X*2Oq8SrHCAy?Gs* z9!Jug-h-&xO=c{L(^agr0Jp_^-Y*kA8Q#3k=^{ypc9RwT9>1#+TqNb`jhYz396sZI znK)e}3DIt{%4l(v0AFyRrLE#s$gEs?k7Y!XAnhirj1~!AKODXX3EvqGZst*y((BFZ z5~SU0rp@b|E|T*436gxVOvu7JT@^`)cCk|Y%Vu1Fb=JXk@Jhf=)%y?LE=6)Pj0L;8%iu40uiZH%zkZq}RE<@Y@3rw#wJVX7 zFGgap=&1=h%cDK(BFPBn$XA~8=uUFF z*lIhA&NAG(NXk@XkQhN{Ibv*GBpKlx`by}kJ`f2WtbPVwSu75|ym=kRH4a8u7fD7q zhrTk}x;V;MF*quY{JeQxHnT303~>&fWwdpXl#ySYEsp%$Imzb~dR1Smp{uB~S%zB| zNg4S?Otn4>*!UT0ku$q44uo7ZKyb&;Tj=y#VZJIh6}E|QFJ4t-^G(Y<+H8I`dn z?L5!Hv70xqbGk?pqSa(YLZ_=D7y;Cq*D=&zZ(f&YFq|Qh1Zg!{(XrD-Qf3XJBAGt> zbvihPZ(i4AM#oMUNf{>x3CGFaypF?cZ(iqgRU{!=*{ZS`9XmrL82QaWoHj^u*vJSv zcDhIsqSa(YzfKp&kj?8dy{FCS*y$q4OE;UW=-BBZDYxSw+Z5i84$a2>?{F#)x|`Q! zh;!&H!>x;?v~}@TWLxLW>)6(L^E&IQNFcmx;ip*n9~$2WjCuVYBjQ<2t1 zk`d0KFQX&=>jROLdp=HA4zlqU^d@Fb7fD7mS<$c4#ZfMzHm~E=^5%8C*516%=|ir2 z6syUKj-4)&aytfsx1Bey%b}XM|2^r@YGp_^Yl4deqn{a=^9zzZ`_CAtH?MQLDv}Xz ztQySd*l>}QucU&6-Hz_&b=@^1P8Uf=c*zNKY$dlkeD=5>sT__{k?Bqh?r zVzryBNaA!6mU*vuN@CW)o7d&upY>jdb4c$=2U=NIvC2IkT`mXPnUniW*30}yhB%9k zGu*n0RpO!Xe)nWLNpcY1x{8$%&O!Wj)1C~sE|PMq^z#Jgpn9IcaJonmA{ME5^EzA6 zuhGmtbr=g?V3TUSNO(u26}jvmw3>Z&xAG;>=gk_>SU ztuoxYNXjHjkhra*uN;=PE{+L5z~*&c4&p32%W&%=VduQNd0n^Lp4(9CBFPBn$QRJv z&Fi}Te8hvafFv$S4n^k{(di<|h$br%I$h+N$SIrG<>tms1RXnFBxUX=iq&LA$4(bX zS;p4SdyB*L?&fuDxXR{r&Jan4sO8e;b+(dY!KGtC9(NS4i`Ct{j#k>dj>YnKg{|1O zT!@M#>ox0=G;dzVVWf76Q`l0Q*I5^u15z*QcaEyqMdSMGKpEv$sRxVRvO%LjZ(hec z#S8p1B3tQ3)7E#^RV-}qQg2?j_&L>{0dGIYsGgVBTJME8hXrQj+-U2n$nfcRZ(gU! zp+1a?xO?;Fbv#X-8lv6gZ%W&(e$>*?n-Hfz`&zsjV zMCbM349fYtLTqZ35KUGriqoV0AG&#+0wnrwRPQ@|v}#>7LFciK=sSl6&S>kZ$Sbk5 z<7nBuj-jb~^EyR+^c8`1kz|N-=&TFp+>cy|1pTM(+KyN^q|Y8$Uw>1k*`mw75{Qaj zE<<8%$8a;BuI}b_c#(2VPqgz|zM{?R*bDF{K-N`}jBpO=U-W6re|WjFU8yGNMH;VO z@A<};r}vd(>*#%f5c^h7Fxt8%D;CA+Di-3Q-OcM5_w?puC6Ky(Wy%*se(r2`F z6{}wT#rbzXy>zC2tTwN+E|LU^^?Rz=El6=#djPrZ3vK1C%% z&0{M;Vm8B@*V&4WjTQ+ad|bj8By6<(wIwg8H?Ol^=07sTS*&A*TUXsNUaB{*bKi#a znyl64b=Fm^jBpNoRb_hk<#Dp@N+fwJItCoPbp->h-n`CwFTy#b&uHr^){xEX*g^KE zj0!qw^E#)Cq>f$So^P_EW2e`3uXm!;XhQ7>I%XAOIIoVnyO$=C;R8}zWw>>blt(e1 z_xuLn-Qky%)5SqV<@L%U9JizGvmS#6c!A}RCSaY^#4EO$zsE{=?-n#}0f=^`l|sEBst7gK((S?@(S zhx8c@y~1)cz3*DB(nQ4Q$1hSkW2@kikadw{gmXxe(biRW4$8cF9T92sx;zj|BeY?g z*LAH-IJ}5MccRnWsWz|EB(65ED|*xBb=fS#t*a&oQ4d)O7mHSTXklF>8Q~oI%4q9IAHI2=hnIAgyBgL-g3mka&Fh>)l8m;lilk6w zJiPVhb$rOYEuFdqO`F#>S+OWiSFy^&JLopW`;Wv(w`^W#go-s}^SUN8I(E89%F`Pp zd`P`{9iMT3#_x2IBt)!)$yW8|b%u*1EjcL*1uu`6RCb)lbolg4-@36_u}H<6*V&4G zjTT3&o$=;%nLhXBoUWP-UvA?bpRMTFXpy8PC#~G8bXP9!e`km!L1LAPH(aq59UCr^ z@*6RY%%UQ1uVUrf)p2t^t(p@wxVOBMKXNZg*UI`dJ1n|XPq3Ve}*`V&NRQP&Fid-q||+o z?L6!5Q7_MsgUn7Ja<&37#ESEO!{H(+^B?i*)%=IzfSU8r=5^LZk`d0KuZ*@Xjwk%O zicc?t#C4}LO-CyTX9zpoHM&Z(hgy$$crOm+wC^ zq{)nqoi38Ho+(Iju!T>CXAqpOvy~BDE3CA%867)ABnTh(mw#Do=-?Q-d0l==vty^LCg{)Co7Xj2(XrE25k7_`JRhs;ym{TqS5}{l zSUnxPTp~%3xVgM}ovrAYH2+;^rd6o7d6G;Z*BGNCM#;`pRhQ;wUd`ZC=N>wP&vQ zhIVh&x=0EnUO&#Evy8SblCtC^5L$Ag&Fi{W*u2gMBFPZv&{>9C7YV1JJmBpK0UMZaU`SKWy3(9P>|o0gkhr;DU? zP~*BcS&_u)sz_d8hSsKv){0qmlZaF2W=JF%(qxsXizFkQQ%GvGJ*gsG3txGv%&j(^v5ell&bmm-^7$y1 zbLcFit&0Sq%6juU7RxJaN_Eu@cHKpiASUon^Fjk(8)Hkhoa%m3slupImn?>Oe$5vRQ@~eaF8(>LHyo zsuFnY=S940?VMRpJYQc5Vb$9#@A>BRQW5>mMj)E3RCuAKm-TpYZwc{^0p`GOHS5@K zZY>`z5%P|rCkTEDVNQ=#fk(PXI4$F^q?SK8Z`O0}epQfQmJxovDW3E$qB10#Ww>?K zo!yS^(#`(b@tVH=S)ZEq_d#gA7vUVy2ilu=$(1s4kKkMP4b1xEi{BQG7hO7Q*4uyb zwm3sh7fD7mS<$c4#Zgw*Y4Y-&w?A{%lb^3|=$>@RGiQD8D^~}J(?wzk@02DhI(E89 z&o{m$AhyKmGQ3v;O1a z8-rx(3+K(cW9Cg!5$oc}h{{=Xmf_Y#QnqxBnjHGf>{&~8tqzhA)1EeK#YMV-o!*OR zvSLw?UIkp`DnH&657r+JUNP&!S3N68Ce6EI)?eK6%&3XeMUoLsR`lz1apWI{+BtFF ztg3J8qWW~bVDS3-bW!hx@NC(NaHFlOSml=tqawe3#+1JCYu0x@zc4t8 z?vJ-JB%5Wpb&;egDg55T6|-J@nJ&q1uAV#VtgqivpDm{MAlkNy$(ts)>W&>p2mQqzJ1W!YJprwcz}`LtPM?zu8ZctiQR zJ6$zN2>dWquN8~pbQP=2NW~?2aQfx5Zhee6sxN)!vRP04sP^`BhDb7`$%>AhUP#i9 z-0q!l*{r+f=v|WEA5JeERf05`(XrD-Qhrr5YBKBcD`#DB z+h*K=cEq%vAO+zZ(r2`F6|3C9GuUVwL0`NDf4TCN19AWRKqMLB96HNz>mtE6#`Q1O zvT<&o``oxB`GK`Ajv7&+T+X7i47V>blpkx4nz&db;XS@;-CLs~)>V;= za85jx8E#!9(Q-UjtJnD?@=Zi%eDr_$z=|NTE|QFJ4t-^`b)@sHR2D|XvZGzkYLgiqJ6$AYz#Z59?lA+=)upr7FB^!iF0HJKBqN+dE21m* zlxb#HA}Om`gTxS8c`!gL>mtbr=L|23J&EHH7cB07Y=vL5#WiWo`B8uUX#9Ts%=*Ey zE|P#0gmdUDqpgdi{K8aJmIQqjx@BFPZv&?>{NizIJJzyBls^L_T ztD8q0P8Uf=G+EKF)5TGKX(%{$SD&9%zX5XTcb9aLWJr@09XnklIjGFX+VAkE&wKi; z7kyg8D5h7;5J`p%HyaKYN%@Vms0mvFj?b85Li%;OI5HxvszPtG3>QiMo$uTU&fQz5 zizFkOtmxP2l}OOd-+fJ4DxHUM?6Yu?K*vrONk%kT(XZ3RfhKr2ekZJ-k9(cNB?Wmf z;0%#uNRt&EJ6$9U0>V-v2%jNm)J^#bPJi z;j%nNu`ZH~a1MQCv~_Wm7GjW}bhX$N-1#H5Tx>|(JUV?iq}6V+Vo{u~VwL9#Bseak z8s_8?$EGR`cj`xxkoFL>2DnJ@jvG81eR`7ckz+iDIUZ)P@J?4n5(2-CS7|Jh%`#jh zh{^3fw`>B=2FmSx9*j6$Bni=OvZCKeuS$3iJ3A{r5jAlfnr8VjI9((O(QdNJXjKIL zr@_aT{!-rw}EvxeG$3&%m4~U3{MuJ5fD=J&s$cP`BGb-LilPMXMCN5TN zQDKo`_fy)U#u_5>Aam`vqGH9~mo1yTPWe5QE85F8+HBEsjuj~xE-EXwu=f34-|M>1 zbwAJZ{yrZL=RViPTg`~1Dmb>9!0AonuNIO2nlkWkCvcC#a{V)>5SDiYfa=EbHN ztIdd`{c%^8At7RB86I(w_~>YB$Jl0&ghLYTveb&WDv}W)nX??;02v-}kdOH@|0maqRz^o$#qyssvVrTsoYC6m z^PH*Gs2OLd_MEATi#$U@LahvsxJZ0WYb0Fl(qhZJxQq=OZ^Xp`L^XQzRr)!Y5HYh1 zkGM$uHxZ5G{?(&4D>Ab;EgG$@S`imXMudcU30 zDdHl@h>$R^jE=ZC(Bwy7cmys*Bnb#J)`bde-PYnWC>i^G2@*d7VCER|W3DdLWY zCSqpHy-Zk`@$B#$7q9jA)rVIK9{~g*D-!9tTM5x}Eg8c?jTr}AB>tb4mRElf7fD8hgn4DO$K(9NhX^By zl^`?A3$uueBqKsXl8lbHDw2OoJXRfc{t-(8szqER84?m|1)N$D7m0T)Hm*)g)|BLR zL!<-U|4G$hY8fsP?^s5XPY3cO73r!-LbS406>7{l1S0X}rnO)$#^O+kOU&@EiMU8I zA|%W!qa!Ykk5w=TzT_Kv6)Hw;W|n7zh>OJ6^ENYtgqdY@#6{v;8AHUN&Aiw&t2oi( zMNBfSR)&OxnPqsyMdD{acDM477Q+w;BV83SM1^mYa0niz#*8CfB<`!m=WZ@^7#;UY zVx!|;X6!Zsi^B%GvvnVLm_+#K*wCsnTybBi)Ww3IULsj+a2AW{s!2xp+NPmZI3g$R zlg$ku{P?rYv5~m7&)^XkyoNwOB%&|q$Vus}cYHpUyaXfz-pfV&xQImj2R;q~~rdv(iG-V`yer_ZY z7e_{f#9k@O@Q91V4_WNF`^iXsx8Sd3B#}OVFvDZNR@^P7GmiRWwjTf2bjA;dAQSl! zf{{dAHOYvOkluZD3>|S5D@{Kg9|cY8@=E7%dL~*4TD;Xt5Sy`JmTqXVD8AgZtm^fX zJrZ9hnZ%c0hK_U<>#A$3@~c$C)JPKPs=FIqjl_+vMv{l!NOzJx$1@Jvl$ciaO^x6I z7m2&u7zvk^a1JsOw`vDp#aQvhjyGa(gx!g!1T_kQgH^eY4W*q4v z!8}T@M(c|1^r84(iZ8BVxf}n5c^eGs3e4)n0$6orJEXR%sAj8 zad%;>iJu0lCh|76BJ%0NWNYcIRz`$`Rm|v!`zyuRmDOLUi?t2u{fyH{BCcX(L`X=I z(Gl1E%^#?vsS@6R$QSls_sX%-M|=)H@4d&aeKER+`wK}BvwYv7qqh0eN!s4=o>t;5=l0~xPj3!cJrhm zB3*YYBZg@)-$)k+I&a0^%iGn;(+ry+Oe#ha=_2v|Zir!8%sA3T;zyB&$m=8>SXLql zfk-mM&o!+mp~Z|NT_o-@Yb05cr`t#uhaaTUvlAu~Y5WK9wdJ#gc+B1T-r$_PlR zS}nsPE)wqiVjtJcOglem#k}(NCE|Ymks%>sW*HuF zk-){O{-#~t-NxF6S`k-8G9o0ow3r1fr#+p_n31OCVE;lI&t&A22Ojh&=^ z&}LcLahyJbhqcWxt&A3lJEhs(V&}!7b=_fcGR}N){wHrW6SP_x5)u|A!y~RDx{KK! zR)d|CrW(>`t%$2w8Bv9ZnPqsyMdB`IRulGKctIFRHZ4V5BpDGB=9STiSC*AX+z4Ye z;pPh-k4C~F2@WLo7$Po`j0g$y%IJuT!%vGwakDyn?0EhkF%mbl`m-+PR5PKzl%_=9 z;9*)UN~Eh;SU)X*znGD@W3O?zAGC3Jx+a!ZD?#i%JG}dn)f#Y-q$B7~wu89yv7sYf zbx#O8w1!jjUOWE?HD(-eCn>KdbaEZThQo{_-AM|fHB5{7Mmpj=|G@3cZWr3i$T_1Qt%|r?%Qzom-T_qZwP6{DKsCvbVOq>M(nXS8jr?fB^7Z2g zt5n3r5$7K^TZf35Wq8Czg2}q}nWA4yEKdAj-AE!Xl8gum^CG$mcw{9Kw_VuniajhP z(R-P3#0L=}p;ksm-0P0dZoQ=PvmY)i;ni%V&pkHcB5}J-V}xL4869zvxB+gO@ZxzWq-AM04RA`UG)L4{ASF!v>3nPhv zgcA1zu!a`tB1wqWFfF315QxOzPcV`^62vIRj3Zqn31M$Z!8g{55?ahR(Bkm-Ysk?$ zwbH?&LEQbsZe7Ghk|80nj1$@q77cCl=;$(k&(9BTYYtAmL^CJ+q^N#-rwO4b)G|Ec zs);*hjk6|xrdvPvSPezGDv}X=ygMs5vV|HmHh~-E>MxZUiJuM|Ng$YUq>IFlpc}=? zTI2|HrJBI_wgB&D7=%xY#y3j%6k{5kz~j) zEoL0)BC$hNKU3v26BblXQeg*-baJ5n88S?b8ArNEu!pUGBEe6At?>C|Fyg96MudcU zJ*SeZk-;M?kzfZ9AI|IKPMQajNEb;$gsV|~PvL504>Zu?_|zkneU)((4YLD|{ZT{-KgrvOo6>X{fmtGmdnT`1dJ{B##7nu!?k5BqL6pS81%HDAbs7z(wK< zXCsNRjuNh$}-MUoN2v}$zad)xZF(?|kBwLDryx=8$l)DXk8GMaHa<{picajgU^ zeKI;PF(WP#Y_V!cNSIkHB&ii~k$9VLENQ9bel*qwBJSrO84@C9#x4VI&$^Q_E)sXp zF_J)#B#)sHS4A=+Bxe80@Q91V{h3DDg596VijtQa5f@2DgoJrzbi|FL;ui_%vuh-= zwqa&mYT%U|t8c_bk`WjYt0IJ0fKqMIw5^805#6{v> z{ni{~{lvU@AL4W@;^F|J8odcWn8h0$B4(E15f=%}i1iohxLw1?o$Qh1n;LPEWJE}q zm(Z2qk(Efi&D%I1GXpbYJEtLnS`imXMudb~869zP_@+#sR1F9-@C#6LEcp?F{SzTw|F(8}%YP&H>GwjdeH^iUXP^K-|1Ju!48`uQq}s%X5!?P9 z)Z#*1O<>ZI%K_MZ3i3Tlnz7Rm%()QIFSOfNb~_VrxpEa$mi!ob9_Hn87HsznsC?vc z|2!u!t4yd=J5FvQ7Lla=!4p$oT=@ z!rdn$?f8=s+$MX(BWzI)B7?YSp)j{jZ*@xEbA5e25E~>4WxMSc1irZf5KN zr3d-M=S{)ow6hT`e+3V;UL*?&;GJSUcG8bVzSuWtN?Z0PO&cmdZ;RLlc zfOassJNem2JM>Gq+=tIak{l~o^UEe9*m)j; zgQp_DJyRTl-etoxn zH7?gJL$G-%f`6S1gNdZvdJ{5u%Y0<8`6akq z_zDC^$+qmzfjD$E5H_kTdNE>aS(aTdN3i?dsjjEI1ANb z2D4eH#l}4YgY&OLxN`}}4!#EIyMF`0{fiMCyAZ)rUe4fsJjRSqyozm@Pp!kWJIQkw zo6LsahG5C+_M5vW-_X9cyYNj&Tl^jb`&S{j_YIIve>-Am9(WKpB3QK!0UiWKd#Y@F z7lK8AKBT=2UHa33dk&$}5Hz5rz$etP+-b;|nqt~(pah4kU zUBC{od~>$q^7z|uc?%2PV_D|D9>JY!5Nut6U?+=#j@#}oelPUavToL@Hj*6U7JBr1 zkn=GX4TDmEb+up0T zx#$+=ycPm9T5myXuS44Wx8lBZ-jB3p??$kcInU*deVn;i!`byF=p9~(%f;6qSo0PH zQy83JWtP1G!CIE;LDpjiv)ajrvWv9f%#L-tBQS{iROcw!$O{*%7hOhnExjT&~nfY{v3Lb@4)3D zR%XX`1nXIhyIAR2ES0sFdpALECCO)#1MQ{VowoyNy$|8Cy#c`ivZ2vnIQS$4t2dy% zylOidx{WwTZbRC%olwCDi}w6yxLkW1E^X{t{}Egs|5IE}V_I(v58&0C@BsFcawE$( zG3lEGc+y4TAjr9k}yLmS* z*Wbfn1jU>6w@BM{KQ7V8&?}k2{sRcmzE*6(zwQZ^>@sp=E!yrr_+NX5D6!cR6#J|Bt*R$4cHv>zPvy0I{F>P5B1|hxenQt>t68fTdEa`T|tePRVA3?B;_hAA{hSh4jyY|1J^1v}%T1#I1@3@g`AHwB~@1V#mW1GSwikg*Gywga{8_P&Q;+D{Q2`cJ0)GRThn6lANJA7*h}K7`9dU&G}s z%>MYvDEzi>qwrX(qf;G%^cvp1iT{P*1asa<-q}YH9A&Vc!Cj9bIDQO`art9FEGO?0 zRu}8-c6Y+}khYE`n9g9=Pbl~)_6X1YQTw{?0p>h$DtLeLV=M;u@ew^Z1(y#$f?}{O z-9BRy7Pe2Ggt|^;1Y0{P5%O>K|mfQFI0vYe8^vGlchl%Jt9kCT72*%DufOdyn@oAIp=`KDU zmuM8|aZf<+79#MlCwD)7GBUM>HveRl&l==4XCwA_8!C9jle^z~22}2viOU@%pU<4_ zuJ1evde#n)pMn_LA$Ek*p}dQ1i+%y3<1-N3JY&+EyT5$Oq-(7$-F_Il8%{uIjc>zK zak-IXa~WJf!0KlpXfrr^9)gX}LSQTKdC!6}c5GDvNeHZC9wSGOcN0w*R*17uMl^7=ayE~HPlI&f8Mxdxd(w)#S3h~u+>`D;Gz(#O z32Qxl7J>s)C#|@B4I(GVzJ$axc*mEKWEO8H8XdafGbh0c@HE6$Ag}H^Lhql3w0(Ri ztB^@|J*zYaMcu-r4QC;^ka;fWvqOWL-2JOFP`(MwWZp9opjBbGn1*0Bp=+lgyRB~- zd3*O4Yer5vXA_Klo!&h+ZNqnY_|9FY*XnG;$M~0Z&tEli&N)*p>Cty>!_mwYSv8Bd zzI7W6VSLG=({t4<{>RsC!+8@u0y{ldHSu(1{;`*D!wD8W;5t32c4w^`IoGMref~C> zB>8){ot|svyq8bi24mtP6T4~_zyAk!z-~)hzzmv$x_DY@Uf-HH)i9yKv$BH50pP7EjyJh4bZ$ zOzf&z{KR!#9IszwVpq-L_np_piMzzEn#KS2^({DZy3o+Bn#FJ0xCKYv61!>^U%6-t zPQfL1)huqcwxDTC?5f?jcSg>f+3Nfu1Zt02snz)y)0xM#?)Zn$Uj)wjpSk;Xa#H8a znSNo#&q^PA+wCm3l>A~q>6vqGXWgab7XwNk_>axJe^T;`0i~1g+{|YnCBGO@I(pS+ zKF99)9~_x^=H(x_HH`pvH-tX>!?)IbKi9|sQd+tB)_MfUN)DFN3%1`{j{{lB!BTqD z?py28AS*ezd&&BdY3CidwH_0)j$@V4_Q!6mM~1BQu)tEPCU2_8hpgmaDP8%(P4y^| zl^iUklb3I*$BL}vU@5&}{ib@v$Vv{D(#5+s)#FB1a2UXq8%Ab6P1Dy!mvEA3^*)I%`vJu}+qyU1F!IdPsqhzeym zn!uGL;EeK=4g86mPS2Gj;9T;pP0LK+N)m7u`RZE!5JIQtN)mAX`2KwUB1)&{N)mA9 zc-KP`xRL~%Grq9=b%t;y2{>CUy;}lTl7REXfyX3pB@=YdyK&^yN&E7q8#%~iNiN~gam$J0uI^e6%zP`2{=rnS4iL&Cg9MFULk>Bn1JIkdW8gj zVFHf9=oQ^FHe!i+cp-0Lu9=Su?B{1ay%c#+<#6{kH{mvNw2r=%m4e3ZHAm~{S6Ruy zx{VyIqmN}J2TO^gb@aEadIao>@t)mZTB?n81!*%rMtmI%RaS;-IJ1aR@N?gH2KhH`I zmXbGhYhYQ)!R(yVmS{b<*IJxS6q^*cbZ?1t*61+6f1{0^(EwtqmXDe}_IrNl7pqBnTlSMO(h3QNwe1P4JbKSN}9p=W1h{N94sZx zX73nKaV98hwwlr&imDmhq6nmFG(kduR@q)Bv8$-z?6j5?^~U{mTIxMk$j zbJh*8Io9?y-N_%sEG->Pz3Jt4ltAaj6e4DId+w%cbNO1377?euI?!Vn9i=_BB%S zivcCg;MY+}o8gnzb^rE5BhQ(sVc1()DqrJfXh8S&nv@(YYE2E^@{^K-rKCy5`%qGH zu#_}id2dWg4wjN8KJV5^$-z?66zT&+QgX1AG#UF)law4RB~9x-XeA{FOGyg^AO4b( zgQcXUh7Y7k$-z?6qQ!^gq~u^JY5C)Wds1?6z2E-$LZ2m)j$@UPw~m2Uqea;D)>Tf= zJ3ch`?hoEFGQImp?;2@eW(G*#!ToB2hvp6_Iao@Z1z$h@>;WYQONq1Kgq?E+lpHK2&VqAx{L+Au zgQdh-@T;GnJ)q=ZDRCA&{x8oMP;#)8I16?roj;)DU@37He9`M>4k$TTN}L7%dGFH) zlpHK2PJ=(XbjE;^gQX-}<+%e&4we$9!JXUA8BlVtlsFCk_?^=SlpHK2PJ>VX-KP#H zIk6xKxxJEG14VWrQnA4we#Ul`>WqB?n7MR@@kM zi;{z-#3`wa-$lv6QsQc-%mhWr!BXP7smv)w$-z?M3arddMajWZ(wZo zeSYeZRlBc&xC%rRo0&%(IpMEkuX!+5B%zhjUJk06^S%&v*y6N|A6^J~udb_pOT{6b z0?BHgao*>*=5KGscjkfO5fD55STB!RB^`tr(JERMMZ@4@NPqQbTQB`{e8{JTKSE^J zqlAd%AcS?cPG?RTF_t5vr0wHk;b!K+<%-lKL{;v{4x z>K}!%vtZ?W&rMY^qRVJ;u?QQGB0(J!yu^{-I(YI$qmxg)h^?hlhO@C=9<@rkBdUP3 zhO1>bYw|mIm!|tF{b;(D&$5LREwh^twF$0)$XY^If|smFvQY1j*^3)m1M3*TjgNMR zN}M&xh$6wuU^16lODS=3oQ6@@$o z`)COcyh~FqNRlB%GS5O_87FqUSNbJ zPLhl$lCfS!mpD0o3%^>p)PJ=QOUa5W8_PJaH+_w1eEeB*-qzV;q# zTV)+K*2{}7rB}XI%8=Heng-XBPLj{u+k5G3yj9*p114`01?rqfW>|ms^`bq*++j2?QJ0 zpjJkc#Aik0$kPvJLL339{TBgAGQ`#F1{bZdUP&j3w|OHe#ZnWDgP)R4jzCl`{ILZO zs%1DyeEKnxGN6w2I7!8EPe~_9Mhw@&x0H&2Bp*aK{4_q;hEla;uhOwOX9=C+X)>u# z^csk)mC+?mj{Ht)Rc7ms&2c(()O=STKsF$p{X#3FOPnM=$5};6u{t)z>7>!7yQ&;J zHX!UKp_bt#P7)s>Y{FtE4G#y75OQkJXb}z$O$4>7P|NTVC&|wreBbD4U+LM$9k{Fv zr;9wVm3XHQQ6yTR2HJCC`zHx{?;iB`(H8#lT$T+iC7mQ0FE}M5uv%em zsYsvADj~q6)(07`mC+=@N^l&XE3ikRBR8%c$9g(hlh;Uz+qc9rq=@7jDGwCPipd+r zR>9-33f9RNm$75v-(jPL4!h_O!eU|FTqBAEz84u@;;cJ{p>eF|tp)2)j7}s?l9Jws z=wyf8&SdT_n zd`nCYJ37>4oFo}iB%SmWn@`l1n|oq`s-w7NmY2@%xLBriO7Wxr{`|lUEvvd;D1GOFBss zqBC4;tXI;>fsdw)pNb~QZ@IK|nyRU{G?m)-$ZuB-!JQngHP$QXB*7|p4_3ie5uHTR zQ6}1c}iGMX^ zw1v8t<@H#vtnD#cq-A#l(HgELbS1;I*JOe3OghE!_?Sp zN;*k=dub$PGmfv1CF62QXGIdiwyb^-9QtO zwKBTIF>=(l3)?i59e>Ac7c#ZVj!~Ok!`fkpoLNSfI4k072s3h(T?U*5tJ-GOv|lwL z*_xH%B~Fqj@Oko^@CC9K{@k)`cPpDKN}P}6iRo2=6cKJqvTBz&N!$R%=iV?`i1Bd*$A!y>WOnmUNP21V@5Ft&ApzntX*Z7=L*?k#{^L zoh05%3^815tXI-W;`?MnlwF3JxjCD${TBgAG9+7cidKT#WbMO{k>uS3M~iSVE9vAY zh^l3S%AlGCl^D%ylZug)?WG3M@IEc+Byl5*A@aj2T0mFn>DC4kgOsNzt9Ce*mUNQ1 zNyQM^6kW73dJG8fCAL7_{#X@z8LUofZ%VcQ+Ox6&$y#M6)Z9DOJANxx*~)adXf-K29t~WvZszb5UffNDB$Za@8D8Qff$5w-$Y#5r zVr<9ARquqF`Vq>_OI-LQR1w7h7?J>@kMuxF@O8ZT{B|cY$WdEU|L*uhet(;gQIFdil}3&bDbG# zrCy&O^0|-4tXTXx#{5k)Ie-*r5LP53iUez>m*e~+=D3m-Z6JK1GNMT2bRR=|$%!1Wi&f)cN-GfUe&Tr6eK(BUAT^>$nnfu&k;J!g0~O&~`JeYz za`9-ED?_B#ucf874y;*sSUb3lGm=s)3_Z!UrNk3LpC~V)j_v$Z_vU@Dk!w8W_u^R7 zY#q7LH4#OE6<6(+gZ5u8c)Kviac5#RDRm#S7a`&lzohpgvPVqO%4pWz_eaG~+OCj)!rRtqwD+>|fblQR4lOB2f$01g!>KCTkzGZC2!< zt=FM6P=|d`UgbQ{vh$A&DI&f28}O1<2Q`7=aU7=^Mp6(Og2ULzfi|p*O+=A&Dw{x5 zu4Wl+2QVIn$8rR%2`gUmD#ha6!ZxEu6bV+C^}Av)YS(dAq~Z^@ghfBwD2h>{lWZ4+ zBt&PpmioKi-C(iYR5{+lU$H7+c)Jegf3fr|0wa+Ap^}a9{ydt7SMz;NNgxctjNc2H8Mkfm+g8k%Z{5U-fCJ z{TBgA__=005_ZK-IlWpExF3trnxXH>pgOK=QTuC zMDeOV7f{W`RBhEZNLi#|OPSnhOPnNb)HXzs$bzbspjl9>dRbTxk9_W}vLa|s&}FhF zi4UlTC=%I%^+=jakh=34f}OWgQ;~f#T>0`W-h|{yxoBlHiv>#$ZNWxD1Fohxw5NI+ z?wDa0={o;wLWZc>BPr=5@&0ZkWsZZXCOMLp^iCflv?{J+i&}=01S?c7H^*D}E5`OQ zTlvP;jmyp0(=`!AqGeqiXqB8W{@1ItJtQf{_U63i)1~(k>|wbQG(?eT&k#p*B`4P1 zcL0Vc(+?gA+h%MKOPqDjkRnkpiFvJLMG{}z*xky8doFF_e6^$-qS6A2kj`*5t?LA5 zvHTRvNbFbqb!!j^L2YUD#*m}Uc^~?u8f$@xO=`4 z;l6VHS{coXnB!a3*jg{M%w+BREh8*(k{HAhMS{%iEm)yToFwk~W<#)Me!g=N$-S6ih@dIJG|Gb4Uwd%s%vu`!)BaguQW z-0(PR5@sA99g!80>50S;!?n=31ZEPygr$LBIT0;v~t4A{ldcrq@_e?(Xo=iN#O^L$%+w`*1AKCCjzW~;dE_#2*YS6t862R%xG%N%>j{~;0X?VU>Kh%qVxxn&%&~b~7v11Z{pn{GK{n zs=l10#ucAQD(NK22)3a?t&Apzx0G?(pWI3EVx#ACkB>}gi^X)36o{Vtpq8Gy(n;b6 zw;<6Oi#{L~PN*8VPOj|SR~Jo)PJvym*2-{_c*io5QgZg((lU^-xuSv*2ly!pw@AcWJHl@HVCxs6)XEx8A;9#4srBqNGM z#}R>Utda(7!C%>4X?a0g<=h2awa8u_%aNw}c(_)$U1-fyZ)NO}Xv^|zR(S1%<{3ww z&i3Dcm?unp$gEaF&nR%-tz?983#uBhHf8gTM4Po}zzJby8-0ll?|&4vu+tLmkA&u= zdjCGv2dljLEAf6rk%T2pL!As$jHBvR^xn}HuGs`NHN?T9riqdcNJf}%hfSo&tY~F4 zi{&c<Eyr&QPInn zkk)XuIEGbL_S_q`A8oFv)hBx~uET<8*K zMZ)md!XIV;y#HZ40IWpq_?-~!%IY{tGNeeFTZ^*IAc=3|?75d>b?j9i?S%B&&&L;5 z{t18vB=2%Eyu?Y8{aW%)H!o*OoE6CkmsD2Y3@>q#xMg>|g+F#VjoMnr#>X;CI!Q7j zFJX#SM%(#E_G`)e;R5Nn_m{ryS@-w8Vr8u`ILBP#o!1cZ5#vk<|6h53af*J!8(d6Jeq!rHmjD-6&Ef^`HWlNt0 zE$Jlj*G>&FTq~m)_s(kwcHa1Ot*!n(f0^39U)b|$LW)GALcmK_Bx(Bel#gU)trBNN z0#UW_S42(g4*R>l#7h$2%UDgynpWEveKS}4n)rTh6T$u-S_xgHg)>Qfh%k}@>GXfaZ6Za5TM#Ij4!>^j?KphL<==`~+_lcdI3X zbC@WK{kNod`ViSlT(p?4q1FDa_tIC}NOTga6<@Xom2{Gzzt@Q2T5)(8#l_CA@%{%_ z+7+kUQm#(J7-gHq8Zulfqgktd{}Jt~;zU?W&$N&7-;%FgPPl(*-`B5};Uw|%51Ze0 z{-Je)&OEd@De-O~f`1_7VAuf!_}nWf+r>i_GOE&SKRN zAc`ao7KPTqqKxonvTD4@5~iuL;Ya(I+tG`C>UB4(ad339TG5KL-iv`?vD{aVh?YFQ zxJJ}_PVuSulFqx85S=eo>5KA3EyGFTPti!^n8mdmRs?pSthHlFCrLusdq45*_o5|q zRThsvJQ@kdx>ya-@E#_`l1`F@u!F@xEt%()&WiX6>1YdocHCLHRa?iR+V$!jRA%KS zWVn`WLt(nEtw}~w5UOvS97TGieOx}V&mAb#;$}yOs~eY*u%(0{A{V}-^KN(XLO0PSdJKP;g5M~84EjWm42>)5E(}ki9w14){X=(agyW%d(I46*1`5| zPAd8}iV`Qs$G%qC^kWOCA_`9!oqLt6NCNvv!}BfHPg$$PNsppJytz=(NyC7kRp*USD^DMsc!SO_=L@ z$yZbdcowhhG>;_SO$?INGQ7lDcXwOuL#UU?RNpkbHxV+!S28vh!f--W8SADp+QJ`O zitON8$9{i4*E1b|*Ha6tCL*tshNAWUhr3xBiJYc%8kpBJCCY0Jh-QRt&v937i;P|l~DPdZwyD3W}hrh8q^*65%;neSrV-}|OG z?S5WAUdi|%pH9X};zNW%ibPwiu#e=~vfeNCA>!2x`3iBrRIEw9DbsJs7m)^)uzY0l zy7wAMnd6#sCp&UX$xTF&RWPR G+xj1YF&e@E diff --git a/openscad/foreign/sc808/io-shield.scad b/openscad/foreign/sc808/io-shield.scad index cafa041..bb3cc1d 100644 --- a/openscad/foreign/sc808/io-shield.scad +++ b/openscad/foreign/sc808/io-shield.scad @@ -30,7 +30,7 @@ m_grill_offset=5; m_grill_spacing=m_grill_w; //port ethernet: p_eth_hole_dist=27.5; -p_eth_hole_dia=4.5; +p_eth_hole_dia=3.5; p_eth_slack=0.5; p_eth_base_x=22+p_eth_slack; p_eth_base_y=21.75+p_eth_slack; @@ -41,7 +41,7 @@ p_eth_cut_x_offset=0; p_eth_cut_y_offset=1.5; //port usb: p_usb_hole_dist=30; -p_usb_hole_dia=4.5; +p_usb_hole_dia=3.5; p_usb_slack=0.5; p_usb_base_x=23+p_eth_slack; p_usb_base_y=16.5+p_eth_slack; @@ -52,11 +52,11 @@ p_usb_cut_x_offset=0; p_usb_cut_y_offset=0; //port vga: p_vga_hole_dist=25; -p_vga_hole_dia=4.5; +p_vga_hole_dia=3.5; p_vga_slack=0.5; p_vga_base_x=34+p_eth_slack; p_vga_base_y=15.25+p_eth_slack; -p_vga_cut_x=15.75+p_eth_slack; +p_vga_cut_x=16+p_eth_slack; p_vga_cut_y=8+p_eth_slack; p_vga_cut_z=mt; p_vga_cut_x_offset=0; @@ -194,7 +194,7 @@ module shield_ports(){ translate([22.5,-1,-3*mt-q])rotate([0,0,-90])#port_ethernet(); translate([50,0,-3*mt-q])rotate([0,0,-90])#port_usb(); //flipped and embossed so that only 1mm of the plate thickness is left, due to the way, d-sub is mounted, and no support is needed for printing - translate([75,-1,-mt-(mt-1)])rotate([180,0,-90])port_vga(); + translate([75,-1,-mt-(mt-1)])rotate([180,0,-90])#port_vga(); // slots for (x=[-60,-52.5,-22.5,-15,-7.5,7.5,37.5,62.5]){ translate([x,0,-3*mt-q])rotate([0,0,90])#port_slot();