minor corrections

main
zeus 2024-08-17 20:34:05 +02:00
parent 2572c7af6e
commit d4258527b8
1 changed files with 2 additions and 2 deletions

View File

@ -36,10 +36,10 @@ module panel(){
translate([0,0,-q])cylinder(d=magnet_dia,h=magnet_h); translate([0,0,-q])cylinder(d=magnet_dia,h=magnet_h);
} }
if (magnet_count>1){ if (magnet_count>1){
for (m=[1:360/magnet_count:359]){ for (m=[0:360/magnet_count:360]){
rotate([0,0,m]){ rotate([0,0,m]){
translate([panel_dia/4,0,-q]){ translate([panel_dia/4,0,-q]){
cylinder(d=magnet_dia,h=magnet_h); #cylinder(d=magnet_dia,h=magnet_h);
} }
} }
} }